Xem mẫu

  1. Hội Thảo Quốc Gia 2015 về Điện Tử, Truyền Thông và Công Nghệ Thông Tin (ECIT 2015) Hội Thảo Quốc Gia 2015 về Điện Tử, Truyền Thông và Công Nghệ Thông Tin (ECIT 2015) Kiến Trúc Vi Mạch FFT Cơ Số Hai Với Số Điểm Linh Động Và Độ Chính Xác Cao Với Công Nghệ 130nm Phạm Đăng Lâm, Nguyễn Trọng Ngô Nhật Du, Ngô Thành Đạt và Hoàng Trang Khoa Điện-ĐiệnTử, Đại Học Bách Khoa Thành Phố Hồ Chí Minh Email: lamd.pham@hcmut.edu.vn, nhatdu.bentre@gmail.com, thanhdat5494@gmail.com, hoangtrang@hcm.edu.vn Abstract— Thuật toán biến đổi FFT (Fast Fourier Transform) trúc FFT là hệ thống OFDM được đề cập trong [5-9]. Một khảo được áp dụng một cách rộng rãi trong nhiều ứng dụng khác nhau sát khác được thực hiện cho các hệ thống này qua bảng 2 cho bao gồm phân tích phổ tín hiệu trong các hệ thống OFDM thấy hầu hết các cấu hình FFT với số điểm nhỏ với dấu chấm (Orthogonal Frequency Division Multi-plexing), trích đặc trưng tĩnh. Đối với các ứng dụng FFT với số điểm lớn từ 128 điểm âm thanh MFCC (Mel Frequency Cepstral Coefficients) trong hệ trở lên, chủ yếu các ứng dụng sử dụng khả năng lập trình với thống nhận dạng giọng nói hay trong các hệ thống xử lý số tín hiệu cần phân tích trên miền tần số nói chung. Tuy nhiên, hầu với các máy tính hiệu suất cao. Chỉ một số ít kiến trúc FFT với hết các nghiên cứu gần đây đa phần tiếp cận dựa trên phần mềm số điểm lớn được đề cập với xu hướng giảm thiểu phần cứng hoặc những kiến trúc phần cứng với số điểm cố định. Ngoài ra, tối đa có thể. Cụ thể khối kiến trúc FFT 128 điểm cấu hình dấu việc sử dụng phương pháp xấp xỉ hay dấu chấm tĩnh trong việc chấm tĩnh được đề cập trong [5], trong khi đó một kiến trúc thực thi phần cứng cũng cho thấy hạn chế với sai số lớn khi mà FFT khác với số điểm là 256 được giới thiệu trong [9]. Từ chuỗi tính toán trở nền dài hơn với số điểm trở nên lớn hơn những khảo sát trên có thể cho thấy các ứng dụng sử dụng các nhiều. Để có thể khắc phục được những yếu điểm này, một kiến cấu hình phần cứng FFT cứng nhắc và chủ yếu tiếp cận dấu trúc vi mạch cho thuật toán FFT cơ số hai không chỉ với số điểm chấm tĩnh. Đối với các thuật toán đòi hỏi số điểm FFT lớn, có thể thay đổi tuỳ ý mà còn áp dụng dấu chấm động với độ không chỉ việc thực thi hiệu quả trên phần cứng với tốc độ cao chính xác cao theo quy trình sản xuất ASIC (Application-Specific Integrated Circuit) được giới thiệu. Một số kết quả thực nghiệm mà độ chính xác trở thành những yêu cầu không thể thiếu đối trên nền công nghệ 130nm cho thấy những ưu thế khi so sánh với với các hệ thống thời gian thực. Để có thể đáp ứng được những các thiết kế khác cũng được thực hiện. điều kiện này, một kiến trúc phần cứng FFT với số điểm linh động có thể cấu hình được ở cấp độ lớp cổng một cách dễ dàng Keywords- FFT (Fast Fourier Transform), MDC (Multipath trong giới hạn từ 8-4096 với cấu hình dấu chấm động được đề Delay Commutator), OFDM (Orthogonal Frequency Division nghị. Multi-plexing), MFCC (Mel Frequency Cepstral Coefficients), dấu chấm động Bảng 1. Khảo sát cấu hình FFT trong trích đặc trưng MFCC Tác giả Cấu hình Thử Ứng dụng Thời I. GIỚI THIỆU nghiệm gian trên thực thi Cùng với sự phát triển không ngừng của lĩnh vực xử lý tín FFT hiệu số, khối kiến trúc FFT, một thành phần không thể thiếu GIN-DER 256 điểm ASIC MFCC trong 10,4 µs trong hệ thống xử lý tín hiệu số, cũng được yêu cầu khắt khe WU [2] Cơ số 2 (0.18µm) nhận dạng hơn nhằm tạo ra các tiện lợi nhất có thể. Khá nhiều giải thuật tiếng nói thực thi FFT được tiếp cận và đạt được thành công bởi sự hỗ Chin-Teng 256 điểm ASIC MFCC trong - trợ của ngôn ngữ lập trình cũng như các máy tính hiệu suất cao Lin [3] Cơ số 16 (0.13µm) nhận dạng [1]. Ngoài ra, với sự phát triển mạnh mẽ của phần cứng khi mà tiếng nói yêu cầu mật độ tích hợp ngày càng cao, một số kiến trúc phần Dongsuk 1024 ASIC MFCC trong 6,7 µs cứng FFT cũng đã được tiếp cận. Cụ thể, trong ứng dụng nhận Jeon điểm (65 nm) nhận dạng dạng giọng nói mà trong đó kiến trúc trích đặc trưng MFCC [4] Cơ số 4 tiếng nói tích hợp thuật toán FFT là một ví dụ tiêu biểu. Các kết quả [2- 4] cho thấy các ứng dụng đặc thù khác nhau về ngôn ngữ nhận Phần còn lại của bài báo được trình bày như sau, phần II dạng, số từ nhận dạng và độ tích hợp của hệ thống yêu cầu mô tả kiến trúc chi tiết phần cứng FFT đề nghị. Tiếp đó, phần thuật toán FFT với số điểm khác nhau. Một khảo sát được thực III mô tả kết quả thiết kế với sự linh hoạt, độ chính xác, tốc độ hiện ở bảng 1 cho thấy một số thống kê tiêu biểu nhất về các và sự tiêu hao về tài nguyên cũng như các so sánh với các thiết cấu hình FFT khác nhau trong kiến trúc trích đặc trưng giọng kế khác. Cuối cùng phần IV kết luận tổng thể và trình bày nói MFCC. Một trong những ứng dụng không thể thiếu kiến những công việc dự kiến sau bài báo này. ISBN: 978-604-67-0635-9 461
  2. Hội Hội Thảo Quốc Thảo GiaGia Quốc 2015 vềvềĐiện 2015 ĐiệnTử, Tử,Truyền TruyềnThông Thông và CôngNghệ và Công NghệThông ThôngTinTin (ECIT (ECIT 2015) 2015) Bảng 2. Các cấu hình FFT trong hệ thống OFDM N 1  2  2 n  k  N 1  2  2 n 1 k  2   j  2   j  Thời       N N Cấu Thử Giải Ứng gian  x 2 n e    x 2n  1 e   (2) Tác giả nghiệm  n 0 n 0 hình Thuật dụng thực thi trên FFT     128  2 nk   2 nk  Kiểu N  j  N  j  Lihong Jia điểm ASIC 3 µs 1  N   2 k  2 1  N  đường OFDM 2    j    (3) [5] Cơ số (0.6µm) ống   x  2n  e  2  e  N  x  2n  1 e  2  2/4/8 n 0n 0 Atin 8 FPGA Cánh Mukherjee điểm 19,598 [6] Cơ số (Xilinx bướm OFDM ns  DFTN   x  0  , x  2  ,  , x  N  2     Virtx-6) đơn vị 2 2 0,33 µs W DFTN   x 1 , x  3 ,  , x  N  1   k (4) N (64 64 – 2 điểm) Jungmin 8K FPGA Cánh ~ Park điểm (Xilinx bướm OFDM Tiếp tục phân tích biểu thức (4) thành tổng của DFT cho chuỗi 96,20 [7] Cơ số Virtex-5) đơn vị dữ liệu chỉ số chẵn và DFT cho chuỗi dữ liệu chỉ số lẻ theo µs 8 N N N N (8K , , ... cho đến khi p  2 , thu được biểu thức (5) điểm) 4 8 16 2 128 K. điểm ASIC Kiểu MIMO-  DFTN  xeven  n  WNk DFTN  xodd  n  (5) Umapathy đường 40 µs Cơ số (90 nm) OFDM 2 2 [8] ống 2/4 256 Với giá trị của k 0,1, 2,, N  1 , và tính chất Cánh Ediz Çetin điểm ASIC N N bướm OFDM 102,4 µs k [9] Cơ số (0.7µm) đơn vị WN 2  WNk W N 2  WNk , biểu thức cho khung cánh bướm đơn 2 N  vị được hình thành như (5) với k 0,1, 2,, . Để mô tả 2 II. KIẾN TRÚC PHẦN CỨNG THUẬT TOÁN FFT cho công thức (5), hình 1 cho thấy thứ tự bố trí cũng như các tầng tính toán tương ứng một cách tổng quát. Chi tiết hơn, tại 2.1 Thuật toán FFT. tầng thứ p bất kỳ, với 1 ≤ p ≤ m, với m=log2N, các phần tử của N FFT là một trong những thuật toán dùng để tính nhanh DFT chuỗi đầu vào được sắp xếp thành p nhóm, mỗi nhóm chứa 2 trong các hệ thống số. Trong các hệ thống hiện nay, việc tính 2p phần tử và 2p-1 phép tính khối cánh bướm đơn vị. Với thuật toán DFT sử dụng thuật toán FFT với nhiều lợi thế so với các toán cơ số 2, hai phần tử tham gia khối tính cánh bướm này ở phương pháp tính toán trực tiếp. Có hai phương pháp chính để tầng thứ p cách nhau một chỉ số 2p-1. thực hiện thuật toán FFT, một là phân huỷ theo thời gian và hai là phân huỷ theo tần số. Trong đó, có thể chọn các cơ số X(0) khác nhau như 2, 4, 8 hay các cơ số lớn hơn. Bài báo lựa chọn thuật toán FFT cơ số 2 phân huỷ theo thời gian đã được áp X(1) dụng rộng rãi trong các hệ thống và ứng dụng khác nhau như X(2) đã trình bày thông qua các khảo sát ở bảng 1 và bảng 2. Cụ thể, đặc tả việc tính toán DFT – N điểm sẽ được thực thi thông X(3) qua thuật toán FFT cơ số 2 bởi m tầng tính toán (với N = 2m) , X(4) trong đó mỗi tầng có giá trị đầu vào và giá trị đầu ra riêng biệt. X(5) Giá trị đầu ra của tầng hiện tại là giá trị đầu vào của tầng kế X(6) tiếp. Thuật toán FFT cơ số 2 phân huỷ theo thời gian sẽ phân bổ phương trình DFT thành tổng của hai phần, một phần là X(7) DFT cho chuỗi dữ liệu có chỉ số chẵn n = [0,2,4,…, N-2] và một phần còn lại là DFT cho chuỗi dữ liệu có chỉ số lẻ n = X(N-2) [1,3,5,…, N-1] như các biểu thức được biến đổi từ (1) đến (4). N 1 X(N-1) X  k   x  n  WNnk , k 0,1, 2, , N  1 n 0  2  j (1)  nk với WNnk  e  N  Hình 1. Mô hình cánh bướm tổng quát FFT N điểm 462 462
  3. HộiHội Thảo Quốc Thảo QuốcGia Gia2015 2015về về Điện Tử, Truyền Điện Tử, ThôngvàvàCông Truyền Thông CôngNghệ Nghệ Thông Thông Tin Tin (ECIT (ECIT 2015) 2015) 2.2 Kiến trúc phần cứng thuật toán FFT đề nghị. vị được hoàn tất, cặp giá trị tương ứng được lưu trữ trên các bộ nhớ nội Mem_imag_3 và Mem_real_ 3. Các giá trị này sau đó được lưu trữ ngược lại các bộ nhớ nội Mem_real_1, Mem Mem Mem real real Mem_rea_2, Mem_imag_1, Mem_imag_2 và trở thành dữ liệu Input 1 3 đầu vào cho cánh bướm đơn vị được tính toán ở các tầng tiếp theo. Kết thúc quá trình FFT, kết quả được lưu trữ trên hai bộ Mem Mem nhớ nội Mem_imag_3 và Mem_real_3 với các giá trị phần Mem real w Imag thực và phần ảo riêng biệt. Việc truy xuất hai giá trị này từ hai real 2 FFT 3 bộ nhớ nội cũng thông qua giao thức đọc dữ liệu từ bộ nhớ Mem CORE thông thường với nhóm tín hiệu cho phép ghi wr_ena, địa chỉ Mem Imag addr_out và dữ liệu ngõ ra data_out. Cụ thể giao diện FFT w 1 được mô tả chi tiết qua bảng 3. imag Mem Bảng 3. Chi tiết chức năng của các thành phần trong khối FFT Imag 2 Tên Loại Số Bit Mô tả addr_input input 12 Địa chỉ của tín hiệu vào data_input input 32 Tín hiệu vào FFT Control rd_ena_input input 1 Chân cho phép đọc bộ nhớ chứa dữ liệu ngõ vào fft_ena input 1 Chân cho phép khối FFT thực Hình 2. Kiến trúc tổng quát khối FFT thi addr_w_r input 12 Địa chỉ phần thực của hệ số addr_input addr_out_r xoay W 12 12 data_w_r input 32 Dữ liệu phần thực của hệ số data_input data_out_r xoay W 32 32 addr_w_i input 12 Địa chỉ phần ảo của hệ số xoay addr_out_i W rd_ena 12 data_w_i input 32 Dữ liệu phần ảo của hệ số xoay data_out_i W 32 rd_ena_w output 1 Chân cho phép đọc bộ nhớ chứa hệ số xoay W addr_w_r addr_out_r output 12 Địa chỉ phần thực của tín hiệu ra 12 FFT wr_ena data_out_r output 32 Dữ liệu phần thực của tín hiệu ra data_w_r addr_out_i output 12 Địa chỉ phần ảo của tín hiệu ra end_fft data_out_i output 32 Dữ liệu phần ảo của tín hiệu ra addr_w_i wr_ena output 1 Chân cho phép ghi kết quả vào bộ nhớ nội data_w_i end_fft input 1 Chân cho phép khối FFT kết 32 thúc rd_ena Về chi tiết bên trong, các khối cơ bản được giới thiệu cụ thể như sau. Hình 3. Mô hình sơ đồ chi tiết khối FFT a/ Các khối bộ nhớ nội Dựa trên mô hình cánh bướm có thể thấy được việc lặp đi lặp lại các phép toán cộng và nhân của một cánh bướm là như nhau. Sự khác biệt giữa các cánh bướm chính là cách truyền Mem Control các giá trị ngõ vào khác nhau trên từng tầng cũng như trên từng hàng tính toán như hình 1. Dựa trên yếu tố này, kiến trúc Int addr_rd FFT đề xuất được mô tả với kiến trúc tổng quát như hình 2 và 12 32 giao diện như hình 3. Kiến trúc tổng quan cho thấy các giao Reg diện ngõ vào và ra của kiến trúc FFT đề xuất. Trước hết, dữ Int addr_wr clk 12 MEM liệu mong muốn tính FFT được lưu trữ thông qua việc ghi dữ 32 Reg 32 BIT liệu lên bộ nhớ nội. Cụ thể giá trị N điểm FFT trên bộ nhớ rst_n ngoài Mem_Input sẽ được lần lượt ghi vào bộ nhớ nội Mem_real_1. Sau đó, số điểm FFT được thiết lập thông qua ena_rd Logic rd/wr thanh ghi nội. Kết thúc việc thiết lập, tín hiệu ena_fft được ena_wr Block kích thích và quá trình tính FFT được bắt đầu. Trong quá trình thực hiện thuật toán FFT, khối FFT_CORE đảm nhận việc tính toán cho nửa cánh bướm đơn vị. Khi một cánh bướm đơn Hình 4. Kiến trúc khối bộ nhớ nội 463 463
  4. Hội Thảo Quốc Gia 2015 về Điện Tử, Truyền Thông và Công Nghệ Thông Tin (ECIT 2015) Hội Thảo Quốc Gia 2015 về Điện Tử, Truyền Thông và Công Nghệ Thông Tin (ECIT 2015) Khối bộ nhớ nội đơn giản là các kiến trúc bộ nhớ thư viện có được chính xác, mô hình điều khiển với các trạng thái chi tiết sẵn của công nghệ 130nm mà bài báo tiếp cận, tuy nhiên để có được mô tả bởi hình 7 và bảng 4. thể sử dụng linh hoạt các khối kiến trúc này, một bộ điều khiển đi kèm được thiết kế cho phép việc đọc và ghi được hiệu quả. Hình 4 cho thấy giao diện cũng như sự kết hợp chi tiết giữa khối điều khiển và kiến trúc bộ nhớ nội trong thư viện có sẵn. b/ Khối cánh bướm đơn vị (FFT CORE) Hình 7. Mô hình chuyển trạng thái cho khối FFT Bảng 4. Mô tả quá trình chuyển trạng thái Trạng thái Mô tả RESET Reset toàn bộ khối kiến trúc FFT. Hình 5. Mô tả cánh bướm đơn vị INITIAL Bắt đầu quá trình lấy dữ liệu từ bộ nhớ. FIRST_ARRANGE Thực hiện phép toán đảo bit để sắp xếp Các phép tính cấu thành khối cánh bướm đơn vị được thực chuỗi dữ liệu đầu vào ban đầu. BUTTERFLY Thực hiện phép toán trên một cánh bướm hiện trên số dấu chấm động 32 bit theo chuẩn IEEE 754. Tính đơn vị. toán một cánh bướm bản chất bao gồm một bộ cộng phức, một WAIT Tạo khoảng đợi khi cánh bướm đơn vị được bộ trừ phức và một bộ nhân phức. Chi tiết phép toán một cánh thực thi. bướm được thực hiện bởi hình 5 và công thức (5). Cụ thể, bộ LOOP_ARRANGE Sắp xếp chuỗi dữ liệu đầu ra sau phép toán cộng phức có thể được tạo ra từ 2 bộ cộng thông thường, một cánh bướm. cho phần thực và một cho phần ảo. Bộ cộng phức cũng thực hiện được cho bộ trừ phức bằng việc đảo bit đầu tiên của số dấu chấm động 32 bit để tiết kiệm diện tích. Bộ nhân phức bao III. THỰC NGHIỆM VÀ ĐÁNH GIÁ KẾT QUẢ gồm một bộ cộng thực, một bộ trừ thực và 4 bộ nhân thực. Theo quy trình thiết kế ASIC, trước hết đặc tả kiến trúc FFT Tóm lại, trong cánh bướm đơn vị có 4 bộ cộng thực và 4 bộ được thực thi bởi ngôn ngữ mô tả phần cứng Verilog ở cấp độ nhân thực và được mô tả bởi thứ tự thực thi phép toán cho bởi RTL. Sau đó, thiết kế được kiểm tra với tập các tín hiệu ngõ hình 6 và các phép toán tương ứng ở công thức (5). Tương ứng mỗi phép toán số dấu chấm động 32 bit tốn 10 chu kỳ. Do vào ngẫu nhiên thay đổi. Các kết quả tương ứng được so sánh đó, với kiến trúc tương ứng như hình 6 cho thấy ước lượng với kết quả phần mềm Matlab cho thấy mức độ chính xác của mỗi cánh bướm hoàn tất yêu cầu 30 chu kỳ bao gồm quá trình thiết kế. Cụ thể bảng 5 khảo sát thuật toán FFT trên phần cứng điều khiển việc đọc ghi các giá trị ngõ vào và ngõ ra. từ 8 điểm đến 1024 điểm cho thấy kết quả sai số chính xác của thực thi phần cứng so với công thức chính xác trên Matlab. Kết quả sai số nhỏ cho thấy hiệu năng của việc áp dụng dấu chấm động. Bảng 5. So sánh kết quả mô phỏng phần cứng và phần mềm Sai số trung bình của Sai số trung bình của Số điểm phần thực (Er) phần ảo (Ei) 8 điểm 1,034 .10-7 2,649 .10-7 -7 16 điểm 2,915 .10 6,786 .10-7 32 điểm 5,278 .10-8 2,930 .10-8 -7 64 điểm 1,286 .10 2,459 .10-8 -7 128 điểm 3,083 .10 1,326 .10-8 256 điểm 1,009 .10-7 1,351 .10-8 -7 512 điểm 1,107 .10 7,432 .10-8 -7 1024 điểm 1,138 .10 9,462 .10-9 Hình 6. Mô tả chi tiết các phép toán trong cánh bướm đơn vị Với công thức tính sai số trung bình được trình bảy ở (6) mà c/ Khối điều khiển trong đó n là số điểm FFT, x là kết quả mô phỏng phần cứng Để có thể điều khiển nhịp nhàng các giá trị ngõ vào và ra bởi ngôn ngữ Verilog và y là giá trị mô phỏng phần mềm bởi tương ứng sao cho thứ tự thực hiện ở một cánh bướm đơn vị ngôn ngữ Matlab. 464 464
  5. Hội Thảo Quốc Gia 2015 về Điện Tử, Truyền Thông và Công Nghệ Thông Tin (ECIT 2015) Hội Thảo Quốc Gia 2015 về Điện Tử, Truyền Thông và Công Nghệ Thông Tin (ECIT 2015) n đề xuất đạt tần số vượt trội so với các thiết kế khác nhờ vào /x y /i i việc thiết kế FFT_CORE đơn giản. Trong khi đó nếu so sánh E i (6) về mặt thời gian thực thi, với tần số tối đa đạt được tối ưu hơn n các kiến trúc khác nhưng thời gian thực thi chỉ cải thiện một phần nhỏ cho thấy việc lặp đi lặp lại quá trình tính toán một T 10  [TFIRST ARRANGE   log 2 N - 2  cánh bướm có những hạn chế nhất định. Một trong những lợi TBUTTERFLY &WAITE & LOOP ARRANGE  TLOOP ARRANGE ] thế khác đối với kiến trúc thiết kế đề nghị là việc mở rộng giới hạn số điểm tính FFT lớn nhất được thực hiện một cách dễ  10  3N  15    log 2 N - 2    2 N  13   N  2   (7) dàng ở cấp độ mức cổng mà không ảnh hưởng nhiều đến toàn  10[ 2 N  13  log 2 N - 9] bộ hệ thống. Điều này cho thấy khả năng tái sử dụng và tính linh động trong thiết kế phần cứng. Mặt khác, việc mở rộng số điểm không ảnh hưởng đến diện tích thiết kế khối FFT_CORE Với N từ 8 đến 4096 tương ứng số chu kỳ và thời gian tương mà chỉ ảnh hưởng đến dung lượng bộ nhớ nội. Tổng cộng có 9 ứng tần số 500Mhz như bảng 6. bộ nhớ nội được đề xuất với dung lượng là như nhau. Cụ thể nếu thiết kế đề xuất tối đa 4096 điểm thì tương đương cần 9 bộ Bảng 6. Số xung clock trì hoãn và thời gian tương ứng Số điểm FFT Số chu kỳ trì hoãn Thời gian trì hoãn (ns) nhớ nội với mỗi bộ có dung lượng 128Kb tương đối nhỏ. 8 780 1560 IV. KẾT LUẬN 16 1710 3420 32 3760 7520 Một kiến trúc vi mạch FFT động với độ chính xác cao được 64 8370 16740 giới thiệu và phân tích chi tiết. Các kết quả thu được từ quy 128 18740 37480 trình thiết kế ASIC cho thấy hiệu quả của thiết kế so với các 256 41910 83820 thiết kế tham khảo khác trong việc so sánh độ chính xác và tốc 512 93240 186480 độ cũng như độ linh động ứng dụng. Việc thiết kế FFT động là 1024 206010 412020 bước đầu cho việc hoàn chỉnh một kiến trúc trích đặc trưng 2048 451900 903800 MFCC động mà có thể áp dụng cho hầu hết các hệ thống nhận 4096 984510 1969020 dạng giọng nói mà thích nghi với các chất giọng khác nhau cũng như số lượng nhận dạng sẽ là các công việc sắp tới cần Bảng 7. So sánh kết quả tổng hợp xuống lớp cổng thực hiện. Số Công Thời gian Công Tần Số Tác Giả Điểm suất thực thi Acknowledgement - Nghiên cứu này được tài trợ bởi Bộ Khoa Nghệ (MHz) FFT (mW) FFT Học và Công Nghệ qua đề tài có mã số KC.01.23/11-15. GIN-DER ASIC 256 100 89,18 10.4 µs WU [2] (0.18µm) TÀI LIỆU THAM KHẢO Chin-Teng ASIC 256 100 22.37 - [1] Teo Cupaiuolo, Daniele Lo Iacono, "A Flexible and Fast Software Lin [3] (0.13µm) Implementation of FFT on the BPE platform" in Design, Automation & Dongsuk ASIC 1024 19 - 6,7 µs Test in Europe Conference & Exhibition, March 2012, pp.1467-1470. Jeon [4] (65 nm) [2] Gin-der Wu, Ying Lei, "A Register Array Based Low Power FFT Lihong Jia ASIC 128 50 400 3 µs Processor" in Journal of Information Science and Engineering, vol.24, [5] (0.6µm) Issue 3, pp. 981-991, 2008. [3] Chin-Teng Lin, Yuan-Chu Yu, Lan-Da Van, "Cost-Effective Triple- Atin FPGA 8 51 - 19.598 ns Mode Reconfigurable Pipeline" in IEEE Transactions On Very Large Scale Integration (VLSI) Systems, vol. 16, no. 8, pp. 1058-1071, 2008. Mukherjee[6] (Xilinx Virtx-6) [4] Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David Blaauw, Dennis Sylvester, "Energy-Optimized High Performance FFT K. Umapathy ASIC 128 40 - 40 µs Processor" in ICASSP, 2011, pp. 1701-1704. [8] (90 nm) [5] Lihong Jia, Bingxin Li, Yonghong Gao, Hannu Tenhunen, Ediz Çetin ASIC 256 40 - 102,4 µs "Implementation of A Low Power 128-Point FFT" in Solid-State and [9] (0.7µm) Integrated Circuit Technology, Beijing, 1998, pp.369-372. FFT đề nghị ASIC 8- 500 3.44 1.5µs- [6] Atin Mukherjee, Amitabha Sinha, Debesh Choudhury, "A Novel (130nm) 4096 1.969ms Architecture of Area Efficient FFT" in ACM SIGARCH Computer Architecture News, December 2014. Dựa trên bảng 6 cho thấy số chu kỳ gần như tăng hơn gấp hai [7] Jungmin Park, "Design of a radix-8/4/2 FFT processor for OFDM" in lần khi mà số điểm cần tính FFT tăng gấp đôi. Tuy nhiên với Iowa State University of Science and Technology, Ames, Iowa, 2011. tần số đạt được trong quá trình tổng hợp ở lớp cổng vật lý ở [8] K. Umapathy, D. Rajaveerappa, "Low Power 128-Point Pipeline FFT Processor using Mixed Radix 4/2 for MIMO OFDM Systems" in công nghệ 130nm là 500 Mhz, thời gian trì hoãn lớn nhất International Journal of Soft Computing and Engineering (IJSCE), vol. tương ứng cấu hình lớn nhất 4096 tương đương 2 ms cho thấy 2, no. 5, pp. 177-179, November 2008. tính khả thi đáp ứng thời gian thực. Các kết quả tổng hợp được [9] Ediz Çetin, Richard C. S. Morling, Izzet Kale, "An Integrated 256-point so sánh với các thiết kế tham khảo khác được thống kê như Complex FFT Processor for Real-time Spectrum Analysis and Measurement" in IEEE Proceedings of Instrumentation and bảng 7. Dựa trên các giá trị so sánh ở bảng 7 cho thấy thiết kế MeasurementTechnology Conference, Canada, May 1997, pp.96-101. 465 465
nguon tai.lieu . vn