Xem mẫu

  1. B GIÁO D C VÀ ÀO T O B KHOA H C VÀ CÔNG NGH VI N NĂNG LƯ NG NGUYÊN T VI T NAM NG LÀNH NGHIÊN C U, XÂY D NG H THI T B THU NH N VÀ X LÝ S LI U D A TRÊN K THU T DSP QUA NG D NG FPGA PH C V NGHIÊN C U V T LÝ H T NHÂN TH C NGHI M LU N ÁN TI N SĨ V T LÝ À L T, 2013
  2. B GIÁO D C VÀ ÀO T O B KHOA H C VÀ CÔNG NGH VI N NĂNG LƯ NG NGUYÊN T VI T NAM ng Lành NGHIÊN C U, XÂY D NG H THI T B THU NH N VÀ X LÝ S LI U D A TRÊN K THU T DSP QUA NG D NG FPGA PH C V NGHIÊN C U V T LÝ H T NHÂN TH C NGHI M Chuyên ngành: V t lý Nguyên t Mã s : 62.44.01.06 LU N ÁN TI N SĨ V T LÝ Ngư i hư ng d n khoa h c: PGS TS Nguy n Nh i n à L t, 2013
  3. i L I CAM OAN Tôi xin cam oan ây là công trình nghiên c u ch y u do tôi th c hi n dư i s hư ng d n khoa h c c a PGS TS Nguy n Nh i n. Bên c nh ó, tôi còn nh n ư c s tham gia h tr c l c c a các ng nghi p trong nhóm nghiên c u. Các s li u th c nghi m và k t qu nghiên c u nêu trong lu n án ch y u t ng h p t các công trình nghiên c u ã ăng t i trên các t p chí, k y u h i ngh khoa h c- công ngh và không sao chép t b t c công trình nào. Tác gi
  4. ii L I CÁM ƠN hoàn thành lu n án này tôi ã nh n ư c s giúp c a nhi u ngư i. Trư c h t, tôi xin ư c bày t lòng bi t ơn sâu s c n PGS TS Nguy n Nh i n, Phó Vi n trư ng Vi n Năng lư ng nguyên t Vi t Nam v vi c Th y ã nh hư ng tài khoa h c, bình duy t k t qu nghiên c u, t n tình hư ng d n và h t lòng giúp tôi su t ti n trình th c hi n lu n án. Xin chân thành cám ơn PGS TS Nguy n c Hòa, Hi u trư ng Trư ng i h c à L t v vi c Th y ã truy n t cho tôi nh ng ki n th c, kinh nghi m quý báu và h tr tôi trong quá trình nghiên c u. Xin chân thành cám ơn TS Ph m ình Khang, Giám c Trung tâm ào t o h t nhân, Vi n Năng lư ng nguyên t Vi t Nam v vi c g i ý nghiên c u liên quan n hư ng ph c v th c nghi m v t lý h t nhân, cũng như luôn t o i u ki n thu n l i cho tôi trong quá trình làm lu n án. Xin chân thành cám ơn TS Nguy n Xuân H i, Giám c Trung tâm ào t o, Vi n Nghiên c u h t nhân v vi c b trí thí nghi m trên kênh và th o lu n thú v v các h ph k dùng trong ghi- o b c x ion hóa. Xin chân thành cám ơn: ThS-NCS Nguy n An Sơn, Trư ng ih c à L t v nh ng n l c áng k trong ph i h p công vi c, h p tác nghiên c u; ThS-NCS Ph m Ng c Sơn, KSC-NCS Ph m Ng c Tu n, ThS-NCS Tr n Tu n Anh, CN Tư ng Th Thu Hư ng, Phòng V t lý và i n t h t nhân v s h p tác có hi u qu trong công vi c. Xin trân tr ng cám ơn Ban Lãnh o Vi n Năng lư ng nguyên t Vi t Nam, Ban Lãnh o Vi n Nghiên c u h t nhân luôn ng h , ng viên, t o m i i u ki n nghiên c u sinh hoàn thành nhi m v . Xin cám ơn các anh, ch Phòng V t lý và i n t h t nhân, nh ng ng nghi p ã tham gia tr c ti p ho c gián ti p trong các tài nghiên c u khoa h c-công ngh liên quan n lu n án. Nhân d p này, tôi xin ư c g i l i cám ơn chân thành t i b n h u xa, g n v vi c luôn chia s tình c m và giúp tôi nh ng lúc khó ng t b ng kh năng cùng tâm tương ái. à L t, ngày 26 tháng 12 năm 2013 Nghiên c u sinh
  5. iii THE ABSTRACT OF DOCTORAL THESIS Author: Dang Lanh Supervisor: Assoc Prof. Dr Nguyen Nhi Dien Title of the thesis: Studying on and the construction of DSP-based instruments via application of FPGA for experimental nuclear physics research. Major: Atomic Physics Code: 62.44.01.06 Institution: Vietnam Atomic Energy Agency (VINATOM) THE CONTENT OF THE ABSTRACT 1. The aim of the dissertation: The aim of the thesis is to study, design and fabricate some functional electronics modulars for radiation measurements and detection at the horizontal channels in DaLat research reactor by Digital Signal Processing (DSP) techniques via applications of Field Programmable Gate Arrays (FPGA). 2. Objectives: The objectives of the thesis is to focus on exploitation of Very high speed integrated circuit Hardware Description Language (VHDL) with mathematical algorithms for creating an FPGA entity to an integrated product that has flexible processing capabilities and entirely controlled by software. 3. Research methods as follows: Moving Window Deconvolution (MWD) method for re-constructing the charge of any radiation event interacted detector environment; Signal processing method before the conditioning stage (APP) for making an adaption bridge between time-variant analog domain with Infinite Impluse Response (IIR) and time-invariant digital domain with Finite Impulse Response (FIR); Digital Pulse Processing (DPP) method using Low Pass Filter (LPF), High Pass Filter (HPF) and High Pass Deconvolver (HPD) to convert energy information into trapezoidal signals, Digital Base Line Restorer (BLR) to stabilize spectra, Add-subtract units to detect peaks with pile-up rejection; Using Visual C++ and LabView to develop application procedures obtaining and control of data. 4. New contributions of the dissertation: 1) Research and application of Digital Pulse Processing (DPP) successfully, handling Analog Pulse Shape (ASP) from the radiation measurement detectors and quantizing signals through A/D conversion in development of digital instruments. 2) Design, fabrication of functional electronics modulars based on DSP via FPGA for domestic demands. 3) Development of the VHDL code to build MCAs in algorithms through ISE or Max+PlusII, and of the application programs under Windows in the object-oriented language VC++, LabView to acquire data. 5. Results of the dissertation: As to hardware, the thesis designed, constructed and gave a usage of the following instruments: FPGA-MCA8K, DSP-MCA1K, DSP- MCA8K modulars. All the instruments were capable of interfacing to PC via µC. Related to self-executed software, the thesis developed digital procedures to digitize signals in FPGA entity via ISE-Xilinx, designed logic projects inside the FPGA with logic-logic linking method via Max+PlusII-Altera, created application programs named MCANRI and MCADSP for getting and processing data.
  6. iv 6. Conclusions: In the past, most of popular functional electronics modulars were normally based on traditional analog techniques, complicated and not convenient for use. This dissertation deals with a new design of contemporary techniques based on FPGA devices via DSP with VHDL. The outstanding advantage of DSP techniques and FPGA technology is capable of enhancement of the quality of the experimental measurements for nuclear radiation. The digital instruments are established with FPGA devices. One of the new development directions for building experimental systems of nuclear physics studies and applications of nuclear technology is utilization of FPGA and DSP techniques. This direction meets effectively the more increasing requirements on the accuracy of ionizing radiation measurements. Since that, a novel generation of spectrometry systems is compact on size, convenient in terms of connectivity and use. The outstanding advantage of DSP techniques and FPGA technology is capable of enhancement of the quality of the experimental measurements for nuclear radiation, minimization of functional electronics modules as well as the economic investment. Besides, an important element of the system based on DSP and FPGA is low power consumption to save energy that has a special meaning in large equipments. With these advantages, the applied research via FPGA, DSP in design and fabrication of radiation measurement instruments for fundamental research in nuclear physics, especially about the study of nuclear structure and data on neutron beams at the Dalat reactor and on the charged particle beam accelerators for domestic needs is essential. Supervisor Post-Graduate Nguyen Nhi Dien Dang Lanh Nguyen Nhi Dien Dang Lanh
  7. v M CL C L I CAM OAN........................................................................................................ I L I CÁM ƠN.............................................................................................................II THE ABSTRACT OF DOCTORAL THESIS……………………………………..III M C L C ................................................................................................................. V B NG CH VI T T T ........................................................................................... X DANH M C HÌNH ................................................................................................XV DANH M C B NG ............................................................................................. XIX M U .................................................................................................................... 1 CHƯƠNG 1 VAI TRÒ CH C NĂNG C A DSP, FPGA VÀ THU T TOÁN PHÁT TRI N, NG D NG THI T B I NT H T NHÂN TRONG GHI- OB CX .......................................................................................................... 4 1.1. Tình hình nghiên c u, ng d ng trong và ngoài nư c ..................................... 4 1.1.1. Tình hình nghiên c u, ng d ng ngoài nư c............................................. 4 1.1.2. Tình hình nghiên c u, ng d ng trong nư c ............................................. 5 1.2. Vai trò ch c năng c a DSP và FPGA ................................................................. 6 1.2.1. X lý tín hi u s (DSP)................................................................................. 6 1.2.2. M ng các ph n t logic có kh năng l p trình (FPGA) ................................ 8 1.2.2.1. Gi i thi u................................................................................................ 8 1.2.2.2. Tích h p các ch c năng c a FPGA........................................................ 9 1.3. ng d ng c a DSP và FPGA trong thi t b i n t .......................................... 10 1.4. Phương pháp i n t k thu t s ....................................................................... 11 1.4.1. Phương pháp kh tích ch p trong c a s ng (MWD) th c hi n thu t toán DSP ....................................................................................................................... 11 1.4.1.1. Gi i thi u.............................................................................................. 11 1.4.1.2. Tái c u trúc i n tích c a s ki n ........................................................ 12 1.4.2. Phương pháp thi t k b ghi- o và x lý tín hi u b ng k thu t DSP ....... 17 1.4.2.1. Gi i thi u h ph k trên cơ s DSP.................................................... 17 1.4.2.2. Các t ng i n t chính.......................................................................... 17 1.4.2.3. C u trúc b ti n x lý tương t (APP) và d ng tín hi u ...................... 18
  8. vi 1.4.2.4. Hình thành xung................................................................................... 19 1.4.2.5. M ch h i ph c ư ng cơ b n (BLR) ................................................... 21 1.4.2.6. Tác v ch n l a xung ........................................................................... 21 1.4.2.7. Khóa xóa và phân bi t th i gian tăng................................................... 23 1.4.3. Mô hình thu t toán DSP dùng trong thi t k b ghi- o b c x .................. 24 1.4.3.1. Gi i thi u.............................................................................................. 24 1.4.3.2. B t o d ng xung s (DPS) hình thang................................................ 25 1.4.3.3. Nh n xét ............................................................................................... 27 1.4.4. Bi n i A/D d a trên phép kh tích ch p trong c a s ng ................... 27 1.4.4.1. Gi i thi u.............................................................................................. 27 1.4.4.2. Bi n i A/D-Bi u di n tương ương.................................................. 27 1.4.5. Phương pháp liên k t c ng logic dùng FPGA trong Max+Plus II ............. 29 1.5. Các b x lý xung ki u s (DPP) và b hình thành xung tương t (APS). Ưu i m c a i n t truy n th ng và i n t s ............................................................. 31 1.5.1. Sơ c u trúc c a b DPP và b APS ....................................................... 31 1.5.2. Ưu và như c c a k thu t l c s ................................................................ 33 1.5.2.1. áp ng xung h u h n (FIR) ............................................................... 33 1.5.2.2. H i ph c c nh nh ph ng và kh năng nh p/xu t d li u c a MCA . 33 1.6. Thu t toán x lý s li u th c nghi m ................................................................ 35 1.6.1. chu n xác c a nh khi có n n phông ................................................... 35 1.6.2. phân gi i năng lư ng c a nh h p th toàn ph n ................................ 37 1.6.3. Tính các ư ng cong nh chu n................................................................ 37 1.6.4. phi tuy n tích phân (INL) ..................................................................... 37 1.6.5. phi tuy n vi phân (DNL)....................................................................... 38 Tóm t t chương 1...................................................................................................... 38 CHƯƠNG 2 THI T K , CH T O CÁC KH I I N T CH C NĂNG CHO H GHI- O B C X GAMMA VÀ NƠTRON........................................... 41 2.1. Thi t k , ch t o các kh i thi t b dùng FPGA, DSP ghép PC ......................... 41 2.1.1. Thi t k -ch t o kh i FPGA-MCA8K........................................................ 41 2.1.1.1. Phương pháp ng d ng và sơ tích h p các b ph n i n t ........... 41
  9. vii 2.1.1.2. B x lý trung tâm (CPU) và ho t ng c a kh i FPGA-MCA8K..... 43 2.1.1.3. c trưng k thu t c a kh i FPGA-MCA 8K ã ch t o .................... 44 2.1.2. Thi t k -ch t o kh i DSP-MCA1K dùng FPGA nh VHDL ................... 45 2.1.2.1. Sơ t ng th c a thi t k ................................................................... 45 2.1.2.2. Các thành ph n vi m ch trong th c th ................................................ 46 2.1.2.3. Hình thành b nh kép (DPRAM) và ROM nh ISE .......................... 47 2.1.2.4. Hình thành b x lý trung tâm (CPU).................................................. 48 2.1.2.5. Xây d ng máy phát xung tam giác/hình thang b ng VHDL ............... 49 2.1.2.6. c trưng k thu t c a thi t b DSP-MCA1K ..................................... 49 2.1.3. Thi t k , ch t o kh i DSP-MCA8K dùng FPGA...................................... 50 2.1.3.1. Sơ kh i c a thi t b DSP-MCA8K.................................................. 50 2.1.3.2. C u trúc h th ng c a kh i thi t b DSP-MCA8K .............................. 50 2.1.3.3. T ng x lý tương t -s có s d ng b ti n l c tương t (APP) .......... 52 2.1.3.4. B kh tích ch p b ng m ch l c cao qua (HPD)................................. 53 2.1.3.5. Kh i làm ch m và tr n tín hi u............................................................ 54 2.1.3.6. B l c th p qua (LPF) .......................................................................... 55 2.1.3.7. T ng phát hi n nh, logic i u khi n và b nh ph .......................... 56 2.1.3.8. T ng giao di n gi a vi i u khi n EZ và thanh ghi/b nh ................. 57 2.1.3.9. Các c trưng và tham s k thu t c a kh i DSP-MCA8K ................ 57 2.2. ánh giá kh năng áp d ng các kh i i n t ã ch t o trong c u hình o c a h ph k trùng phùng ................................................................................................... 58 2.2.1. M t s c u hình h o trùng phùng γ-γ t i Vi n NCHN ............................ 58 2.2.1.1. Cơ s và phương pháp thi t k ............................................................ 59 2.2.1.2. Thi t k nguyên t c cho h trùng phùng s ghi “s ki n-s ki n” ...... 59 2.2.2. Kh năng áp d ng c a m t s kh i i n t ã ch t o trong c u hình c a h o trùng phùng ...................................................................................................... 60 2.3. Thi t k , ch t o h ghi- o nơtron qua vi i u khi n dòng EZ-USB............... 60 2.3.1. Các thành ph n thi t b ............................................................................... 61 2.3.2. Thi t k , ch t o kh i MCA8K dùng vi i u khi n EZ-USB..................... 61 2.3.3. Lưu thu t toán ........................................................................................ 62
  10. viii 2.3.4. c trưng k thu t c a h ph k ghi nơtron ............................................. 63 2.4. Phát tri n chương trình ng d ng thu nh n d li u cho h ghi- o gamma và nơtron ....................................................................................................................... 64 2.4.1. Phát tri n chương trình ng d ng thu nh n d li u MCANRI b ng VC++. 64 2.4.1.1. Lưu thu t toán và gi i thích lưu ................................................. 64 2.4.1.3. Chương trình lưu ph ........................................................................... 65 2.4.2. Phát tri n chương trình ng d ng d li u DSPMCA b ng LabView......... 67 2.4.2.1. Hàm k t n i thi t b .............................................................................. 68 2.4.2.2. Các hàm i u khi n lu ng d li u ....................................................... 69 2.4.2.3. Ph n m m ng d ng i u khi n thi t b .............................................. 70 2.4.3. Phát tri n chương trình vi i u khi n b ng C Keil51 ................................. 74 2.4.3.1. Ch c năng c a chương trình vi i u khi n b ng C Keil51.................. 74 2.4.3.2. Lưu thu t toán và gi i thích lưu ................................................. 75 Tóm t t chương 2...................................................................................................... 75 CHƯƠNG 3 K T QU TH C NGHI M VÀ TH O LU N.......................... 77 3.1. M c tiêu, i tư ng, vai trò c a th t c ki m tra thi t b .................................. 77 3.2. Các thi t b h tr ki m tra và i u ki n ti n hành ........................................... 78 3.3. Thí nghi m ki m tra các tham s c trưng k thu t c a thi t b ch t o......... 79 3.3.1. Ki m tra ch s kênh c a kh i thi t b ........................................................ 79 3.3.2. Ki m tra phi tuy n vi phân (DNL) ........................................................ 80 3.3.2.1. phi tuy n vi phân c a kh i FPGA-MCA8K (DNLFPGA-MCA8K)...... 80 3.3.2.2. phi tuy n vi phân c a kh i DSP-MCA8K (DNLDSP-MCA8K)........... 82 3.3.3. Ki m tra phi tuy n tích phân (INL) ....................................................... 84 3.3.3.1. phi tuy n tích phân c a kh i FPGA-MCA8K (INLFPGA-MCA8K)..... 84 3.3.3.2. phi tuy n tích phân c a kh i DSP-MCA8K (INLDSP-MCA8K) ......... 86 3.3.4. Ki m tra chu n xác v s m và t n su t d li u vào-ra ..................... 87 3.3.4.1. chu n xác v s m và t n su t d li u vào-ra c a kh i FPGA- MCA8K............................................................................................................. 87 3.3.4.2. chu n xác v s m và t n su t d li u vào-ra c a kh i DSP- MCA8K............................................................................................................. 88
  11. ix 3.3.5. Ki m tra Khi bình phương (χ2) ................................................................... 89 3.4. Thí nghi m ki m tra các c trưng v t lý cơ b n c a thi t b ghi- o b c x .... 90 3.4.1. Chu n năng lư ng và tính di n tích nh quang ......................................... 90 3.4.2. Xây d ng ư ng cong hi u su t ................................................................. 93 3.5. o ph gamma v i ngu n 60Co và 137Cs ........................................................... 94 3.5.1. o ph th c nghi m v i kh i DSP-MCA8K ch t o l n 1 ........................ 94 3.5.2. o ph th c nghi m v i kh i DSP-MCA8K ch t o l n 2 ........................ 95 3.6. Ki m tra kh i thi t b DSP-MCA1K ................................................................. 97 3.7. H m nơtron dùng trên kênh ngang ............................................................... 99 3.8. Th o lu n k t qu th c nghi m ....................................................................... 100 3.8.1. Th o lu n k t qu ...................................................................................... 100 3.8.2. M t s v n c n c p khi s hóa thi t b b ng VHDL ....................... 102 K T LU N ............................................................................................................ 105 1. Các công vi c ã làm ư c trong lu n án .......................................................... 105 2. i m m i c a lu n án......................................................................................... 106 3. Ý nghĩa khoa h c và th c ti n ............................................................................ 106 4. xu t hư ng nghiên c u c n ti p t c ............................................................. 107 5. M t s kinh nghi m rút ra t lu n án ................................................................. 108 DANH M C CÔNG TRÌNH C A TÁC GI ...................................................... 109 TÀI LI U THAM KH O ...................................................................................... 111 PH L C A: HAI PHƯƠNG PHÁP L P TRÌNH CHO FPGA DÒNG EPM7160E C A HÃNG ALTERA DÙNG MÔI TRƯ NG MAX+PLUS II ..... 120 PH L C B: THU T TOÁN GENIE-2000 NH CHU N HI U SU T VÀ DI N TÍCH NH H P TH TRONG PH GAMMA …………………...122 PH L C C: CHƯƠNG TRÌNH MÃ NGU N VHDL PHÁT TRI N H PH K A KÊNH DSP-BASED MCA 8K ................................................................. 131 PH L C D: MÃ NGU N CHƯƠNG TRÌNH MCA ......................................... 140 PHÁT TRI N B NG VC++ ................................................................................... 140 PH L C E: HÌNH NH THI T B Ã CH T O ...………………………………...145
  12. x B NG CH VI T T T Vi t t t Ti ng Anh Ti ng Vi t AC Alternative Current Dòng xoay chi u ACC Accumulator B tích lũy ACQT Acquisition Time Th i gian thu nh n ADC Analog to Digital Converter B bi n i tương t sang s ADCL ADC side Latching Ch t a ch cho phía ADC ADC* ADC signal with low validity Tín hi u ADC hi u l c th p A/D Analog to Digital Conversion Bi n i tương t sang s AMP Amplifier Khu ch i ph k APP Analog conditioning Pre-Processor B ti n x lý tương t APS Analog Pulse Shaper B hình thành xung (ki u) tương t ARC Amplitude and Risetime Bù biên và th i gian tăng Compensation BL Base Line ư ng cơ b n BLR Baseline Restorer M ch h i ph c ư ng cơ b n BUSY Busy B n bi n i CD Continuous Discharge X ( i n) liên t c CFD Constant Fraction Discriminator B phân bi t phân o n không i CG Coarse Gain H s khu ch i thô CI Carry Input Ngõ vào có nh CLB Configurable Logic Block Kh i logic có th nh c u hình CMOS Complementary metal-oxide Ch t bán d n kim lo i ôxit bù semiconductor CO Carry Output Ngõ ra có nh COINC. U Coincidence Unit Kh i trùng phùng CDP Continuous Discharge preamplifier Ti n khu ch i x liên t c CONVT Conversion Time Th i gian bi n i CSP Charge Sensitive Preamplifier Ti n khu ch i nh y i n tích
  13. xi DAC Digital to Analog Converter B bi n i s sang tương t DACC Data Accepted Nh n xong d li u D/A Digital to Analog Conversion Bi n i s sang tương t DC Direct Current Dòng m t chi u DCM Digital Clock Manager B qu n lý xung nh p (d ng) s DGF Digital Gamma Finder H phát hi n b c x gamma (ki u) s DIFT Differentiating Time Th i gian l y vi phân DL(U) Delay Unit Kh i (làm) tr DNL Differential Non-Linearity phi tuy n vi phân DP-5 The fifth Data Processor B x lý d li u (mô hình) th 5 DPP Digital Pulse Processing X lý xung (k thu t) s DPRAM Dual Port Random Access B nh thâm nh p ng u nhiên hai c ng Memory DPS Digital Pulse Shaper B hình thành xung (d ng) s DR Data Ready D li u s n sàng DS Delay-Subtract Unit ơn v tr -làm ch m DSPs Digital Signal Processor B x lý tín hi u s DSP Digital Signal Processing X lý tín hi u s DT Deadtime Th i gian ch t EA Exponential Averaging L y trung bình hàm mũ ECON Enable Conversion Cho phép bi n i ENDA Enable data Cho phép xu t d li u EOC End of Conversion Ch m d t bi n i EZ_IOD EZ In-Out Data D li u vào-ra b vi i u khi n EZ-USB FA Fast Amplifier B khu ch i nhanh FET Field Effect Transistor Tranzistor hi u ng trư ng FG Fine Gain H s khu ch i tinh FIFO First In First Out Vào trư c ra trư c FIR Finite Impulse Response áp ng xung h u h n FPGA Field Programmable Gate Arrays M ng các ph n t logic kh l p trình
  14. xii FSM Finite State Machine Cơ ch tr ng thái h u h n FSR Full Scale Range Thang m toàn ph n FWHM Full Width at Half Maximum r ng n a chi u cao GRLIB Thư vi n lõi IP HDL Hardware Description Language Ngôn ng mô t ph n c ng HPD High Pass Deconvolution Kh tích ch p nh m ch l c cao qua HPF High Pass Filter B l c (t n s ) cao qua HPGe High Purity Germanium V t li u germanium siêu tinh khi t HVPS High Voltage Power Supply Ngu n Cao th ICR Incoming Count-Rate T c m xung vào IEEE Institute of Electrical and Vi n K thu t i n và i n t Electronics Engineers, Inc. (Eye- triple-E) IIR Infinite Impulse Response áp ng xung vô h n INL Integral Non-Linearity phi tuy n tích phân INTT Intergrating Time Th i gian l y tích phân ISA Integrated System Architecture Ki n trúc h th ng tích h p IOB Input-Output Block Kh i vào/ra ISE Intergrated Software Environment Môi trư ng ph n m m tích h p I/O Input/Output Nh p/Xu t IODIR In-Out Direction (of data) Hư ng vào-ra (c a d li u) I/V Current to Voltage (conversion) ( i) Dòng sang th LC Logic Cell T bào logic LE Leading Edge Sư n d n (sư n tăng) LG Linear Gate C ng tuy n tính LL Lower Level M c (ngư ng) dư i LPF Low Pass Filter B l c (t n s ) th p qua LSB Least Significant Bit Bit tr ng s th p nh t LTI Linear Time-Invariant system H th ng b t bi n th i gian tuy n tính LUT Look-Up Table B ng c p nh p n i dung (tham s )
  15. xiii MA Moving Average Trung bình trư t MAC Multiply and Accumulate Nhân và tích lũy MCA Multi-Channel Analyzer H phân tích a kênh MCD Multi-channel Data Processing X lý d li u a kênh MEOE Memory output enabling Cho phép xu t d li u t b nh MIOD Memory Input-Output Data D li u nh p-xu t b nh MSB Most Significant Bit Bit tr ng s cao nh t MWD Moving Window Deconvolution Kh tích ch p trong c a s ng M[A0- Memory Address [0 – 15] a ch b nh t 0 t i 15 A15] NIM Nuclear Instrumentation Modulars Các kh i thi t b i n t h t nhân NSR Normalized Step Response áp ng b c chu n hóa NEI Nuclear Electronics Instruments Thi t b i n t h t nhân OE Output Enabling Cho phép xu t PCF Physical Constraints File T p tin ràng bu c th c th PE Port Enabling Cho phép (m ) c ng PIC Programmable Interrupt Controller B vi i u khi n ng t l p trình ư c PLL Port Link side Latching Ch t d li u vào phía c ng truy n PL* Port Link side with low validity Phía c ng truy n hi u l c th p PROM Programmable Read Only B nh ch c kh l p trình Memory PSEL Port Selection Ch n c ng Pre-AMP Preamplifier Ti n khu ch i (or PA) PSA Pulse Shape Analysis Phân tích d ng xung PUR Pile-Up Rejection Lo i b ch ng ch p P-Z Pole-Zero cancellation Bù tr c c-không RAM Random Access Memory B nh thâm nh p ng u nhiên RD/WR Read/Write c/Vi t RSS Reference Set-up System H th ng xác l p tham chi u
  16. xiv RTD Risetime Discrimination Phân bi t th i gian tăng RFP Resistor Feedback Preamplifier Ti n khu ch i ph n h i b ng tr RPG Random Pulse Generator Máy phát xung ng u nhiên RTPU Real Time Processing Unit ơn v x lý th i gian th c SACP Summation of Amplitude (Phương pháp) C ng biên các xung Coincidence Pulse (method) trùng phùng SCA Single Channel Analyzer H phân tích ơn kênh SLCTIN Selecting In Ch n ngõ vào SUT System Under Test H th ng c n ki m tra S/N Signal to Noise ratio T s tín hi u/t p âm SRAM Static Random Access Memory B nh thâm nh p ng u nhiên tĩnh TAC Time to Analog Conversion Bi n i th i gian sang biên TDI Transferring Data Input Truy n d li u vào thi t b FPGA TDO Transferring Data Output Xu t d li u ra kh i thi t b FPGA TFA Timing Filter Amplifier B khu ch i l c th i gian TRP Transistor Reset Preamplifier Ti n khu ch i xóa b ng tranzistor TS Time Stamp ánh d u m c th i gian TSC Two-Step γ Cascades method Phương pháp n i t ng chuy n d i tia γ hai b c T/H Track and Hold (pulse-peaks) Tìm và gi nh xung UCF User Constraints File T p tin ràng bu c c a ngư i dùng UL Upper Level M c (ngư ng) trên USB Universal Serial Bus ư ng truy n n i ti p a năng VHDL Very high speed integrated circuit Ngôn ng mô t ph n c ng m ch tích Hardware Description Language h pt c r t cao XIA X-ray Instrumentation Agency Hi p h i (xây d ng) trang thi t b tia X XST Xilinx Synthesis Technology Công ngh t ng h p c a hãng Xilinx µC Micro Controller B vi i u khi n µP Micro Processor B vi x lý
  17. xv DANH M C HÌNH Hình 1.1: Cơ c u FPGA ơn gi n ………………………………………………… 8 Hình 1.2: C u hình b ng tra c u v i d li u nh p/xu t …………………………... 9 Hình 1.3: Kh i logic l p trình cơ b n trong FPGA ……………………………….. 9 Hình 1.4: FPGA v i các c t kh i RAM ư c tích h p …………………………… 10 Hình 1.5: K t h p các b nhân, c ng, tích lũy t o t h p MAC ………………….. 10 Hình 1.6: Sơ kh i h x lý xung s (DPP) …………………………………….. 17 Hình 1.7: Các tín hi u minh h a tác v x lý xung ………………………………. 19 Hình 1.8: Sơ kh i APP trong h ph k ……………………………………….. 19 Hình 1.9: áp ng xung ư c t o ra b i h thi t b s …………………………… 20 Hình 1.10: Các tín hi u ch ra ho t ng c a kênh nhanh ………………………... 20 Hình 1.11: Các tín hi u bi u th tác v th c hi n ch ng ch ng ch p ………… 22 Hình 1.12: ơn v k t h p tác v làm ch m-thu t toán tr ………………………. 24 Hình 1.13: B kh tích ch p m ch cao qua ki u s ………………………………. 24 Hình 1.14: C u hình HPD như b bù tr P-Z s ………………………………….. 26 Hình 1.15: Sơ b DPS hình thang/tam giác …………………………………… 26 Hình 1.16: Mô hình thu t toán t o tam giác/hình thang khi tín hi u PA là hàm mũ 27 Hình 1.17: (a) Phương pháp thang trư t chu n, (b) Bi u di n tương ương c a phương pháp thang trư t chu n …………………………………………………... 28 Hình 1.18: (a) Phép bi n i trư c l c, (b) Khi u bi n i phi tuy n, (c) Ki u bi n i th ng kê, (d) Bi u di n th ng kê tương ương c a bi n i A/D ……….. 29 Hình 1.19: Sơ b t o d ng xung tương t APS ………………………………... 31 Hình 1.20: Sơ ơn gi n hóa c a b DPP lý tư ng …………………………….. 31 Hình 1.21: Trái-các d ng xung trong APS. Ph i-các d ng xung trong DPP ……... 32 Hình 1.22: Ngõ ra b vi phân i v i hình thành xung tương t (trái) và s (ph i) 34 Hình 1.23: Tín hi u t 3 b t o d ng khác nhau ………………………………….. 34 Hình 1.24: Tính di n tích nh ……………………………………………………. 35 Hình 1.25: Tính INL c a MCA …………………………………………………… 38 Hình 2.1: Sơ c u trúc kh i FPGA-MCA8K ghép máy tính …………………… 42
  18. xvi Hình 2.2: B n m ch DSP-Spartan-3E, Xilinx ……………………………………... 45 Hình 2.3: C u trúc t ng th kh i DSP-MCA1K dùng FPGA …………………….. 45 Hình 2.4: B nh ph trong FPGA ……………………………………………….. 47 Hình 2.5: Hình thành DPRAM trong FPGA b ng ngôn ng VHDL nh ISE …… 47 Hình 2.6: Quy trình th c hi n CPU và thành ph n vi m ch th c hi n tác v .. 48 Hình 2.7: K t qu sau khi n p trình t o CPU thành công ………………………… 49 Hình 2.8: Sơ kh i x lý xung s (DPP) ……………………………………….. 50 Hình 2.9: Sơ c u trúc t ng th c a kh i DSP-MCA8K ……………………….. 51 Hình 2.10: Sơ nguyên lý b APP ……………………………………………… 52 Hình 2.11: Sơ nguyên lý t ng bi n i A/D nhanh ……………………………. 53 Hình 2.12: B kh tích ch p (HPD) ………………………………………………. 54 Hình 2.13: T ng làm ch m và tr n tín hi u ………………………………… 54 Hình 2.14: B l c th p qua (LPF) ………………………………………………… 55 Hình 2.15: T ng phát hi n nh và lưu ph ………………………………… 56 Hình 2.16: T ng giao di n c a µC ………………………………………….. 57 Hình 2.17: Sơ h ph k trùng phùng s d ng TAC t i Vi n NCHN ………… 59 Hình 2.18: Sơ nguyên t c c a h trùng phùng “s ki n-s ki n” ki u s …….. 60 Hình 2.19: Sơ kh i h ghi- o nơtron ………………………………………….. 60 Hình 2.20: Sơ t ng th kh i MCA8K dùng EZ-USB trong h m nơtron …… 62 Hình 2.21: Lưu thu t toán c a chu trình c/vi t th i gian …………………… 63 Hình 2.22: Lưu thu t toán cho chương trình giao ti p máy tính ………………. 64 Hình 2.23: Lưu thu t toán x lý ph c a chương trình ng d ng MCANRI ….. 66 Hình 2.24: Ph Co-60 và Cs-137 o ư c khi dùng chương trình MCANRI ……. 67 Hình 2.25: Hàm k t n i thi t b v i máy tính …………………………………….. 68 Hình 2.26: Bi u di n hàm cho phép t i vi chương trình vào EZ …………………. 68 Hình 2.27: Hàm cho phép vi t/ c m t byte d li u ……………………………... 69 Hình 2.28: Hàm cho phép c/vi t nhi u byte d li u cùng lúc ………………….. 69 Hình 2.29: Trang giao di n c a chương trình DSPMCA …………………………. 70 Hình 2.30: Trình ơn m t p tin ………………………………………………... 71 Hình 2.31: Trình ơn xác l p các tham s th i gian ……………………………… 71
  19. xvii Hình 2.33: Trình ơn t ngư ng ………………………………………………… 71 Hình 2.34: Trình ơn chu n năng lư ng ………………………………………….. 72 Hình 2.35: Trình ơn x lý vùng quan tâm ……………………………………….. 72 Hình 2.36: Hi n th các tham s liên quan ph …………………………………… 72 Hình 2.37: Phím kh i phát/d ng chương trình …………………………………… 73 Hình 2.38: Hình bi u di n con tr và các bi u tư ng co-giãn ph ……………….. 73 Hình 2.39: Lưu thu t toán trình vi i u khi n …………………………………. 75 Hình 3.1: C u hình ki m tra ho t ng logic c a 2i s kênh o theo ch s i …… 79 Hình 3.2: K t qu ki m tra ch s kênh tương ng dùng chương trình MCANRI.exe ……………………………………………………………………... 80 Hình 3.3: C u hình thí nghi m o phi tuy n vi phân DNLFPGA-MCA8K ………… 81 Hình 3.4: Ph tuy n tính vi phân c a h SUT dùng kh i FPGA-MCA8K ……….. 81 Hình 3.5: phi tuy n vi phân c a kh i FPGA-MCA8K ………………………... 82 Hình 3.6: C u hình thí nghi m o DNLDSP-MCA8K ………………………………… 83 Hình 3.7: Ph tuy n tính vi phân c a h SUT dùng kh i DSP-MCA8K …………. 83 Hình 3.8: phi tuy n vi phân c a kh i DSP-MCA8K ………………………….. 83 Hình 3.9: C u hình ki m tra INL% c a kh i FPGA-MCA8K ……………………. 84 Hình 3.10: ư ng cong bi u di n INL c a h h p b dùng FPGA-MCA8K ……. 85 Hình 3.11: Thí nghi m ki m tra INLDSP-MCA8K …………………………………… 86 Hình 3.12: ư ng cong bi u di n INL c a DSP-MCA8K (INLDSP-MCA8K) ………. 87 Hình 3.13: C u hình ki m tra giá tr χ2 c a h h p b dùng FPGA-MCA8K ……. 90 Hình 3.14: H ph k o ph gamma t ngu n 152Eu …………………………….. 90 152 Hình 3.15: Ph Eu và ư ng chu n năng lư ng qua phép kh p 10 nh có các giá tr năng lư ng-kênh ghi trong b ng 3.11a …………………………………….. 91 Hình 3.16: ư ng chu n hi u su t ghi u dò theo năng lư ng ………………….. 93 Hình 3.17: o ph gamma c a ngu n 60Co, 137Cs dùng kh i DSP-MCA8K v i u dò HPGe l n 1 ................................................................................................... 94 Hình 3.18: Ph th c nghi m 60Co, 137Cs dùng DSP-MCA8K ................................. 94 Hình 3.19: o ph gamma c a ngu n 60Co, 137Cs dùng kh i DSP-MCA8K v i u dò HPGe l n 2 ................................................................................................... 95
  20. xviii 60 Hình 3.20: Ph Co và 137Cs o b ng DSP-MCA8K ch t o l n 2 ........................ 96 Hình 3.21: nh 661.7 keV c a 137Cs trong hai h o DSPEC và DSP-MCA8K ... 96 Hình 3.22: nh 1332.5 keV c a 60Co trong hai h o DSPEC và DSP-MCA8K .. 96 Hình 3.23: Ph thu ư c t máy phát xung tam giác c a kh i DSP-MCA1K ........ 98 Hình 3.24: Ph nơtron o trên kênh ngang s 4 Lò à L t ..................................... 99
nguon tai.lieu . vn