Xem mẫu

  1. Bài Giảng Kỹ Thuật Số Chương 3 CHƯƠNG 3. MẠCH LOGIC TỔ HỢP 3.1. GIỚI THIỆU Chöông 2 ñaõ khaûo saùt caùc pheùp toaùn cuûa taát caû caùc coång logic vaø vieäc söû duïng ñaïi soá Boolean ñeå moâ taû vaø phaân tích caùc maïch keát hôïp töø caùc coång logic. Caùc maïch naøy ñöôïc goïi laø maïch logic toå hôïp, vì möùc logic ngoõ ra chæ phuï thuoäc vaøo toå hôïp logic ngoõ vaøo hieän taïi. Moät maïch toå hôïp thì khoâng coù ñaëc tính nhôù Caùc phöông phaùp toái thieåu hoùa thöôøng ñöôïc söû duïng trong thieát keá soá laø: Söû duïng caùc ñònh lyù cuûa ñaïi soá Boolean • Caùc kyõ thuaät duøng bìa (Karnaugh, Quine Mc. Cluskey) • Mô hình mạch tổ hợp với n đầu vào và m đầu ra X1 Y1 X2 Y2 MẠCH TỔ HỢP Xn Ym 3.2. THIẾT KẾ MẠCH LOGIC TỔ HỢP 3.2.1. Các bước thiết kế mạch logic tổ hợp ÖÙng vôùi moãi toå hôïp ngoõ vaøo, ñaët caùc möùc logic ngoõ ra theo yeâu caàu thieát keá, taát • caû caùc khaû naêng ngoõ ra cuûa moät maïch logic coù theå ñöôïc bieåu dieãn thoâng qua baûng söï thaät. Töø baûng söï thaät suy ra bieåu thöùc Boolean cho maïch caàn thieát keá • Ruùt goïn bieåu thöùc Boolean • Chuyeån bieåu thöùc Boolean thaønh maïch toå hôïp • Ví dụ, Thieát keá moät maïch logic 3 ngoõ vaøo, A, B, C vôùi yeâu caàu: ngoõ ra seõ ôû möùc cao khi coù ít nhaát 2 ngoõ vaøo ôû möùc cao Giải. Bước 1. Thieát laäp moät baûng söï thaät, coù taát caû 8 khaû naêng ñoái vôùi ngoõ vaøo. Döïa vaøo yeâu caàu baøi toaùn, ngoõ ra seõ ôû möùc 1 khi coù 2 hay 3 ngoõ vaøo ôû möùc 1, caùc tröôøng hôïp coøn laïi ngoõ ra ôû möùc 0. GV: Nguyễn Trọng Hải Trang 45
  2. Bài Giảng Kỹ Thuật Số Chương 3 A B C X Minterm 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 A.BC 1 0 0 0 1 0 1 1 A BC 1 1 0 1 ABC 1 1 1 1 ABC Bước 2. Vieát bieåu thöùc ngoõ ra döôùi daïng minterm (cho moãi tröôøng hôïp X=1) X = A.BC + ABC + ABC + ABC Bước 3. Coù theå vieát laïi X=ABC+ABC+ABC+ABC+ABC+ABC Nhoùm caùc soá haïng laïi vôùi nhau X=BC(A+A)+AC(B+B)+AB(C+C)=BC+AC+AB Bước 4. Bieåu thöùc ngoõ ra ñöôïc thöïc hieän nhö sau: 74LS08 B A C X B A X 1 C 74LS08 74LS08 Hình (a) Hình (b) Ngöôøi ta cuõng co theå bieán ñoåi bieåu thöùc treân thaønh x=C(B + A) + AB, vaø maïch logic ñöôïc thöïc hieän nhö hình (b) Maïch (b) coù phaàn ñôn giaûn hôn vì chæ söû duïng caùc coång 2 ngoõ vaøo thay vì phaûi söû duïng coång OR 3 ngoõ vaøo nhö maïch (a). Treân thöïc teá ngöôøi thieát keá vaãn choïn maïch (a) bôûi vì nhieàu lyù do, moät trong nhöõng lyù do laø tín hieäu ngoõ vaøo A, B ôû maïch (b) phaûi qua 3 coång logic tröôùc khi ñöôïc ñöa ra ngoõ ra. Ñieàu naøy coù theå aûnh höôûng raát lôùn trong moät heä thoáng soá toác ñoä cao. Ví dụ, Thieát keá moät maïch logic 4 ngoõ vaøo, A, B, C, D (trong ñoù A öùng vôùi MSB, vaø D öùng vôùi LSB) vôùi yeâu caàu ngoõ ra seõ ôû möùc cao khi giaù trò thaäp phaân cuûa caùc ngoõ vaøo ABCD > 610 GV: Nguyễn Trọng Hải Trang 46
  3. Bài Giảng Kỹ Thuật Số Chương 3 3.2.2. Một số điểm quan trọng khi thực hiện thiết kế cuối cùng Trong caùc ví duï veà thieát keá treân, caùc maïch ñöôïc thöïc hieän thoâng qua caùc coång AND vaø OR, trong ñoù moät hay nhieàu coång AND laùi moät coång OR do vieäc bieåu dieãn haøm döôùi daïng minterm. Khi bieåu dieãn haøm döôùi daïng minterm ta coù theå deã daøng chuyeån ñoåi caùc coång logic thaønh moät coång NAND duy nhaát (löu yù xem laïi phaàn chuyeån ñoåi ñaõ khaûo saùt ôû chöông 2), bôûi vì coång NAND laø coång logic coù ñaùp öùng nhanh nhaát trong hoï logic TTL, ñaây laø moät ñaëc tính quan troïng caàn phaûi löu yù. Ví dụ, bieán ñoåi maïch cuûa 2 ví duï treân duøng coång NAND B B C C A X A X 74LS08 3.3. KỸ THUẬT CỰC TIỂU QUINE-Mc CLUSKEY Caùc heä thoáng soá hieän ñaïi ñöôïc thieát keá baèng caùch söû duïng caùc thieát bò logic phöùc taïp, do ñoù ñoøi hoûi moät kyõ thuaät toái hieåu hoùa haøm logic vôùi söï hoã trôï cuûa maùy tính thay vì laøm baèng tay vôùi caùc yeâu caàu: Coù khaû naêng xöû lyù moät soá lôùn caùc bieán • Khoâng phuï thuoäc vaøo khaû naêng cuûa ngöôøi duøng trong vieäc nhaän bieát caùc phaàn töû • nguyeân toá Ñaûm baûo bieåu thöùc ñöôïc cöïc tieåu hoùa • Phuø hôïp cho giaûi phaùp baèng maùy tính • Phương pháp: Bước 1. Chuyển hàm về dạng minterm Bước 2. Sắp xếp các số hạng minterm của hàm theo từng nhóm có chung số bit 1 Bước 3. Áp dụng định lý A+A =1 cho 2 minterm chỉ sai khác nhau 1 bit 1. Lặp lại cho đến khi nhóm xong các minterm Bước 4. Quan sát bảng các nguyên tố cơ bản được rút gọn, xác định cột chỉ chứa một minterm Bước 5. Viết hàm dưới dạng tổng chuẩn rút gọn của các minterm đó Ví dụ. Ruùt goïn haøm Boolean sau duøng phöông phaùp Quin McCluskey Y=∑ ABCD (0,1,2,3,5,7,8,9,11,14) GV: Nguyễn Trọng Hải Trang 47
  4. Bài Giảng Kỹ Thuật Số Chương 3 Bước 2. Nhoùm Caùc bieán Minterm (soá bit 1) A B C D 1 1 0 0 0 1 2 0 0 1 0 8 1 0 0 0 2 3 0 0 1 1 5 0 1 0 1 9 1 0 0 1 3 7 0 1 1 1 11 1 0 1 1 14 1 1 1 0 Bước 3. Nhoùm caùc minterm chæ sai khaùc 1 bit Nhoùm Caùc bieán Minterm A B C D 1 0,1 0 0 0 - 0,2 0 0 - 0 0,8 - 0 0 0 2 1,3 0 0 - 1 1,5 0 - 0 1 1,9 - 0 0 1 2,3 0 0 1 - 8,9 1 0 0 - 3 3,7 0 - 1 1 3,11 - 0 1 1 5,7 0 1 - 1 9,11 1 0 - 1 4 14 1 1 1 0 Laëp laïi böôùc 3, tieáp tuïc nhoùm caùc minterm chæ sai khaùc 1 bit Nhoùm Caùc bieán Nguyeân toá Minterm cô baûn A B C D 1 0,1,2,3 0 0 - - AB 0,1,8,9 - 0 0 - BC 2 1,3,5,7 0 - - 1 AD 1,3,9,11 - 0 - 1 BD 3 14 1 1 1 0 ABCD Bước 4. Quan saùt caùc nguyeân toá vaø tìm caùc coät chæ chöùa moät gaïch cheùo (kyù hieäu ⊗) GV: Nguyễn Trọng Hải Trang 48
  5. Bài Giảng Kỹ Thuật Số Chương 3 Caùc Caùc soá thaäp Caùc minterm nguyeân toá phaân 0 1 2 3 5 7 8 9 11 14 ⊗ 14 ABCD ⊗ 0,1,2,3 X X X AB ⊗ 0,1,8,9 X X X BC ⊗ ⊗ 1,3,5,7 X X AD ⊗ 1,3,9,11 X X X BD Thaáy raèng, taát caû caùc nguyeân toá ñeàu coù ⊗, ñaây laø caùc minterm ñaõ cöïc tieåu hoùa, vaäy haøm cuoái cuøng laø Y= ABCD + A B + B C + A D + B D Ví dụ. Ruùt goïn haøm Boolean sau duøng phöông phaùp Quin McCluskey Y=∏ ABCD (2,4,5,6,10,12,13,14) 3.4. THIẾT KẾ MẠCH KHÔNG SỬ DỤNG BẢNG SỰ THẬT Moät soá baøi toaùn thieát keá ñoâi khi coù theå giaûi quyeát tröïc tieáp maø khoâng qua caùc böôùc thieát keá treân, ñoái vôùi nhöõng baøi toaùn naøy ñoøi hoûi phaûi hieåu roõ nhöõng pheùp toaùn logic, caùc coång logic vaø caùc tính chaát cuûa coång logic. Xeùt moät vaøi ví duï sau ñaây 3.4.1. Mạch so sánh số nhị phân 2 bit Bài toán x1 x0 y1 y0 z x1 Mạch 0 0 0 0 1 x0 0 0 0 1 0 z so y1 0 0 1 0 0 sánh y0 0 0 1 1 0 0 1 0 0 0 Thieát keá maïch sao cho ngoõ ra seõ ôû möùc cao khi 0 1 0 1 1 x1x0 = y1y0 0 1 1 0 0 Giaûi. 0 1 1 1 0 1 0 0 0 0 Coù theå giaûi baøi toaùn baèng caùch laäp baûng söï thaät 1 0 0 1 0 roài ruùt goïn haøm 1 0 1 0 1 Tuy nhieân, neáu ta xeùt ñeán ñaëc ñieåm cuûa pheùp 1 0 1 1 0 toaùn töông ñöông hay coång XNOR (ngoõ ra seõ ôû 1 1 0 0 0 möùc cao neáu 2 ngoõ vaøo baèng nhau) ta seõ thaáy 1 1 0 1 0 vaán ñeà caàn giaûi quyeát seõ ñôn giaûn hôn nhieàu. 1 1 1 0 0 1 1 1 1 1 GV: Nguyễn Trọng Hải Trang 49
  6. Bài Giảng Kỹ Thuật Số Chương 3 Coát loõi cuûa baøi toaùn laø so saùnh x0 vôùi y0 vaø x1 vôùi y1, ta seõ coù maïch nhö sau: X1 X0 Z Y1 74LS08 Y0 3.4.2. Mạch tạo và kiểm tra chẵn lẻ Khi truyeàn döõ lieäu töø maùy phaùt sang maùy thu, coù nhieàu caùch ñeå kieåm tra loãi trong khi truyeàn, moät trong nhöõng caùch ñôn giaûn nhaát laø theâm 1 bit vaøo döõ lieäu ñöôïc truyeàn ñi, bit ñoù goïi laø bit chaün leû (parity bit). Parity bit coù hai giaù trò 0 hay 1 tuøy thuoäc vaøo soá bit 1 coù trong nhoùm maõ. coù hai phöông phaùp taïo bit parity. Phương pháp parity chẵn Giaù trò cuûa bit parity ñöôïc choïn sao cho toång caùc chöõ soá 1 trong nhoùm maõ laø soá chaün. Neáu soá bit 1 trong nhoùm maõ laø leû thì bit parity theâm vaøo laø 1 Neáu soá bit 1 trong nhoùm maõ laø chaün thì bit parity theâm vaøo laø 0 Ví duï maõ ASCII cuûa chöõ C laø 1000011, nhoùm maõ naøy coù 3 bit 1 vì vaäy seõ ñaët theâm parity bit laø 1 ñeå sao cho nhoùm maõ taïo ra coù soá bit 1 laø chaün (4 bit 1) 11000011 Bit parity theâm vaøo maõ ASCII cuûa chöõ A laø 1000001, bit parity theâm vaøo seõ laø bit 0 (01000001) Maïch taïo Parity chaün döïa treân phöông phaùp so saùnh soá bit 1 D3 D2 D1 Parity (P) D0 To Receiver Ngoõ ra coång XOR ôû möùc cao khi soá bit 1 ôû ngoõ vaøo laø leû Ta coù theå thieát keá maïch duøng baûng söï thaät vaø keát quaû cuõng gioáng nhö treân GV: Nguyễn Trọng Hải Trang 50
  7. Bài Giảng Kỹ Thuật Số Chương 3 Phương pháp Parity lẻ Giaù trò cuûa bit parity ñöôïc choïn sao cho toång caùc chöõ soá 1 trong nhoùm maõ laø soá leû. Neáu soá bit 1 trong nhoùm maõ laø leû thì bit parity theâm vaøo laø 0 Neáu soá bit 1 trong nhoùm maõ laø chaün thì bit parity theâm vaøo laø 1 Ví duï maõ ASCII cuûa chöõ C laø 1000011, nhoùm maõ naøy coù 3 bit 1 vì vaäy seõ ñaët theâm parity bit laø 0 ñeå sao cho nhoùm maõ taïo ra coù soá bit 1 laø leû 01000011 Bit parity theâm vaøo maõ ASCII cuûa chöõ A laø 1000001, bit parity theâm vaøo seõ laø bit 1 (11000001) Mạch kiểm tra chẵn lẻ Maïch kieåm tra chaün töông töï nhö maïch phaùt parity chaün, cuõng döïa treân nguyeân lyù cuûa coång XOR, ngoõ ra seõ ôû möùc cao neáu caùc ngoõ vaøo coù soá bit 1 laø chaün. Nghóa laø khi ngoõ ra ôû möùc 1: khoâng coù loãi, khi ngoõ ra ôû möùc 0: coù loãi trong khi truyeàn. Maïch nhö hình sau P D3 D2 Error (E) D1 D0 0=no error 1=error Maïch chæ kieåm tra caùc bit goác coù bò loãi hay khoâng chöù khoâng bieát ñöôïc bit naøo loãi trong tröôøng hôïp phaùt hieän ñöôïc loãi 3.4.3. Mạch cho phép/cấm Moãi coång logic cô baûn coù theå ñöôïc söû duïng ñeå ñieàu khieån cho pheùp hoaëc khoâng cho pheùp caùc tín hieäu ñi qua coång ñoù. ÔÛ ñaây ta söû duïng moät ngoõ vaøo laøm chaân ñieàu khieån cho pheùp hoaëc caám, ngoõ coøn laïi caáp tín hieäu Control Control Không Cổng Cổng thay đổi logic logic trạng thái Traïng thaùi cho pheùp (môû coång) Traïng thaùi caám (ñoùng coång) GV: Nguyễn Trọng Hải Trang 51
  8. Bài Giảng Kỹ Thuật Số Chương 3 Ví dụ, Thieát keá maïch logic cho pheùp tín hieäu ñi qua chæ khi hai ngoõ ñieàu khieån B vaø C ñeàu ôû möùc cao, caùc tröôøng hôïp coøn laïi ngoõ ra ôû möùc thaáp Giải. A X B C 74LS11 Ví dụ Thieát keá maïch logic cho pheùp tín hieäu ñi qua chæ khi 2 ngoõ vaøo ñieàu khieån coù möùc logic khaùc nhau. Ví dụ, Thieát keá maïch logic vôùi tín hieäu ngoõ vaøo taïi A, ñieàu khieån taïi B, ngoõ ra X vaø Y nhö sau: Khi B=1 ngoõ ra X = A, Y = 0 Khi B=0 ngoõ ra X = 0, Y= A GV: Nguyễn Trọng Hải Trang 52
  9. Bài Giảng Kỹ Thuật Số Chương 3 3.5. MẠCH GIẢI MÃ (Decoder) Laø maïch logic giaûi maõ N-bit nhò phaân ngoõ vaøo thaønh M ñöôøng ngoõ ra, chæ duy nhaát moät ñöôøng ngoõ ra ôû möùc tích cöïc öùng vôùi moät toå hôïp N-bit ngoõ vaøo. Goïi boä giaûi maõ laø boä phaùt hieän maõ O0 A0 N O1 M A1 Decoder A2 O2 input output OM-1 AN-1 Moät soá maïch giaûi maõ khoâng söû duïng heát taát caû caùc khaû naêng cuûa ngoõ vaøo, vì vaäy öùng vôùi toå hôïp ngoõ vaøo khoâng söû duïng thì khi thieát keá khoâng coù ngoõ ra naøo tích cöïc 3.5.1. Mạch giải mã 3 sang 8 Maïch coù 3 ngoõ vaøo vaø 8 ngoõ ra, coøn ñöôïc goïi laø maïch giaûi maõ nhò phaân sang octal (binary to octal decoder), vôùi ngoõ ra tích cöïc möùc 1, coù baûng söï thaät nhö sau Bảng sự thật C B A Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 0 1 1 0 0 0 0 0 0 0 1 0 1 1 1 0 0 0 0 0 0 0 1 Q0 = C.B.A Q0 = C.B.A Q1 = C.B.A A Q2 = C.BA Q1 = C.B.A (LSB) Q3 = C.BA Q2 = C.B A B Q4 = CB.A Q3 = C.BA Q5 = CB.A C Q6 = CB.A Q4 = CB.A (MSB) Q7 = CBA Q5 = CB.A Tröôøng hôïp ngoõ ra tích cöïc möùc 0 laøm Q6 = CB.A töông töï Q7 = CBA Trang 53
  10. Bài Giảng Kỹ Thuật Số Chương 3 3.5.2. Ngõ vào cho phép Ña soá caùc boä giaûi maõ ñeàu coù moät hoaëc nhieàu ngoõ vaøo cho pheùp ñeå ñieàu khieån hoaït ñoäng cuûa boä giaûi maõ. Ví dụ, xeùt boä giaûi maõ ôû treân, keát noái moãi coång AND ngoõ ra vôùi chaân ñieàu khieån cho pheùp chung ENABLE. Khi ENABLE=1, cho pheùp coång AND hoaït ñoäng bình thöôøng ôû cheá ñoä giaûi maõ, khi ENABLE ôû möùc thaáp ngoõ ra cuûa caùc coång AND ñöôïc ñaët ôû möùc 0, nghóa laø maïch cho pheùp giaûi maõ öùng vôùi ngoõ ENABLE=1 vaø khoâng cho pheùp öùng vôùi ENABLE=0 3.5.3. Giải mã 3 sang 8 dùng IC74LS138 G2A G2B G1 Output A Y0 B Y1 0 0 1 Ngoõ ra tích cöïc theo maõ cuûa A2A1A0 C Y2 Y3 1 x x Khoâng giaûi maõ, ngoõ ra ôû möùc cao Y4 G1 Y5 G2A Y6 x 1 x Khoâng giaûi maõ, ngoõ ra ôû möùc cao G2B Y7 x x 0 Khoâng giaûi maõ, ngoõ ra ôû möùc cao 74LS138 G2A G2B G1 (MSB) A2 A1 A0 (LSB) 74LS11 Y0 Y5 Y2 Y1 Y7 Y6 Y4 Y3 Ví dụ Xaùc ñònh ngoõ ra naøo cuûa 74LS138 tích cöïc öùng vôùi caùc ngoõ vaøo nhö sau: G2A = 0; G2B = G1=1 ; A2 = A1 = 1 ; A0 = 0 G2A = G2B = 0 ; G1=1 ; A2 = 0 ; A1 = A0 = 1 Trang 54
  11. Bài Giảng Kỹ Thuật Số Chương 3 3.5.4. Ghép các bộ giải mã liên tầng Coù theå gheùp caùc boä giaûi maõ lieân taàng ñeå coù theå giaûi maõ ñöôïc töø maõ lôùn hôn. Ví dụ, keát hôïp 2 boä giaûi maõ 3 sang 8 ñeå taïo thaønh 1 boä giaûi maõ 4 sang 16 A0 DEC0 DEC0 A Y0 DEC1 A1 B Y1 DEC2 C Y2 A2 DEC3 Y3 DEC4 Y4 DEC5 HI G1 Y5 DEC6 G2A Y6 DEC7 G2B Y7 74LS138 DEC8 A Y0 DEC9 B Y1 DEC10 C Y2 DEC11 Y3 DEC12 A3 Y4 DEC13 G1 Y5 DEC14 EN G2A Y6 DEC15 G2B Y7 74LS138 EN=0 cho pheùp maïch giaûi hoaït ñoäng Ñoái vôùi caùc boä giaûi maõ lôùn hôn, coù theå theâm vaøo 1 boä giaûi maõ nhö sau A0 DEC0 A Y0 DEC1 A1 B Y1 DEC2 A2 C Y2 DEC3 Y3 DEC4 Y4 DEC5 G1 Y5 DEC6 G2A Y6 DEC7 G2B Y7 74LS138 DEC8 A Y0 DEC9 B Y1 DEC10 C Y2 DEC11 Y3 DEC12 Y4 DEC13 G1 Y5 DEC14 G2A Y6 DEC15 G2B Y7 EN3 DEC16 G Y0 A Y0 DEC17 Y1 B Y1 A3 DEC18 A Y2 C Y2 DEC19 A4 B Y3 Y3 DEC20 Y4 DEC21 G1 Y5 74LS139A DEC22 G2A Y6 DEC23 G2B Y7 DEC24 A Y0 DEC25 B Y1 EN1 DEC26 C Y2 DEC27 Y3 EN2 DEC28 Y4 DEC29 G1 Y5 DEC30 G2A Y6 DEC31 G2B Y7 Ví dụ Söû duïng 4 IC 74LS138 vaø moät coång ñaûo, thieát keá maïch giaûi maõ 5 sang 32 Trang 55
  12. Bài Giảng Kỹ Thuật Số Chương 3 3.5.5. Sử dụng bộ giải mã tạo các minterm Caùc ngoõ ra cuûa boä giaûi maõ (ôû cheá ñoä cho pheùp) töông öùng vôùi caùc minterm cuûa caùc ngoõ vaøo. Ví dụ caùc minterm cuûa caùc ngoõ ra 74LS138 nhö sau Y 0 = C.B.A , Y1 = C.BA , v.v. Neáu moät haøm logic coù caùc minterm nhö ngoõ ra cuûa boä giaûi maõ thì ta coù theå söû duïng boä giaûi maõ ñoù ñeå xaây döïng haøm treân Ví dụ ∑ Xeùt haøm F = (0,2,3,5) = X .Y .Z + X Y Z + X YZ + X Y Z X ,Y , Z Coù theå thieát laäp haøm nhö sau: Z A Y0 F Y B Y1 C Y2 X Y3 Y4 HI G1 Y5 G2A Y6 G2B Y7 74LS20 74LS138 Quaù trình thieát keá coù theå choïn caùch thieát keá naøy hoaëc caùch thieát keá khaùc tuøy thuoäc vaøo toác ñoä, giaù thaønh ... Giaûi phaùp söû duïng boä giaûi maõ raát thuaän tieän vì coù theå deã daøng thay ñoåi caùc minterm. Ngoaøi ra coù theå thieát keá nhieàu haøm logic ngoõ ra, ví duï söû duïng boä giaûi maõ vaø coång NAND thöïc hieän caùc haøm sau ∑ ∑ ∑ F= (2,4,5) , G = (0,1,3) , H = (3,6,7) X ,Y , Z X ,Y , Z X ,Y , Z 74LS138 F Z A Y0 74LS10 Y B Y1 C Y2 X Y3 G Y4 G1 Y5 HI G2B Y6 G2A Y7 H 3.5.6. Giải mã 7 đoạn Boä giaûi maõ 7 ñoaïn coù 4 ngoõ vaøo theo maõ BCD vaø 7 ngoõ ra öùng a vôùi maõ 7 ñoaïn Boä hieån thò 7 ñoaïn coù theå laø LED 7 ñoaïn hoaëc baèng tinh theå loûng f b g (LCD liquid– crystal display) ñöôïc söû duïng trong caùc maùy tính e c tay hieån thò giaù trò thaäp phaân. LED 7 ñoaïn coù 2 loaïi: loaïi anode d chung vaø loaïi cathode chung Trang 56
  13. Bài Giảng Kỹ Thuật Số Chương 3 +Vcc a a b b c c d d e e f f g g LED 7 ñoaïn Cathode chung LED 7 ñoaïn Anode chung Ví dụ 74LS49 coù ngoõ ra tích cöïc möùc cao, BI OA LED töông öùng laø cathode chung nhö OB OC hình beân A OD B OE C OF Bảng sự thật của 74LS49 (tra phần D OG phụ lục) 74LS49 Ví dụ: 74LS47 vôùi ngoõ ra tích cöïc möùc thaáp, söû duïng LED töông öùng laø cathode chung nhö sau HI BI/RBO A RBI LT B C A D B E C F D G 74LS47 Tính toaùn caùc giaù trò ñieän trôû baèng coâng thöùc sau VCC − VLED R= I LED VLED khoaûng 2,7V, ILED khoaûng 10mA, vaäy R = 230 Ω LED tinh thể lỏng LCD a b LCD hoaït ñoäng vôùi möùc ñieän aùp thaáp (khoaûng 3 ñeán c 15VRMS) taàn soá hoaït ñoäng thaáp (25 ñeán 60hz) vaø doøng d e cung caáp raát beù vaø cuõng ñöôïc theå hieän 7 ñoaïn gioáng nhö f LED 7 ñoaïn g Blackblane Trang 57
  14. Bài Giảng Kỹ Thuật Số Chương 3 Ñieän aùp ac caàn ñeà laøm töøng ñoaïn saùng laø ñieän aùp giöõa ñoaïn ñoù vôùi blackblane. Giöõa segment vaø blackblane hình thaønh moät ñieän dung. Taàn soá thaáp nhaát cuûa ñieän aùp ac laø 25hz LCD söû duïng doøng ít hôn LED vaø thöôøng ñöôïc söû duïng ôû caùc thieát bò duøng pin nhö maùy tính… vaø noù caàn theâm nguoàn naêng löôïng ngoaøi laø aùnh saùng ñeå coù theå thaáy ñöôïc. Ñeå laùi moät LCD, theo nguyeân taéc 1 segment seõ saùng khi coù moät ñieän aùp ac ñaët giöõa segment vaø blackblane, vaø segment seõ taét khi khoâng coù aùp ac naøy. Ñeå coù moät ñieän aùp ac ngöôøi ta taïo ra moät soùng vuoâng nhö sau Control Segmen 4070 40hz signal Blackblane Phöông phaùp ñeå laùi moät LCD 7 ñoaïn nhö sau 4070 A A B B C C D D E LT F BI G LE 4511 LCD 40hz Blackblane 3.5.7. Giải mã BCD sang 10 dùng IC74LS42 Y0 A Y1 Caùch thieát keá maïch giaûi maõ BCD sang thaäp phaân thì töông töï Y2 B Y3 nhö caùch thieát keá maïch 3 sang 8 (xem nhö moät baøi taäp veà Y4 nhaø). C Y5 Y6 IC giaûi maõ BCD sang thaäp phaân: 74LS42 baûng söï thaät tra phaàn D Y7 Y8 phuï luïc Y9 74LS42 Trang 58
  15. Bài Giảng Kỹ Thuật Số Chương 3 3.6. MAÏCH MAÕ HOÙA (ENCODER) Ngöôïc vôùi quaù trình giaûi maõ laø quaù trình maõ hoùa vaø ñöôïc thöïc hieän bôûi maïch logic maõ hoùa. Chæ moät ngoõ vaøo cuûa boä maõ hoùa ñöôïc tích cöïc, vaø töø maõ N-bit ngoõ ra tuøy thuoäc vaøo ngoõ vaøo naøo ñöôïc tích cöïc O0 A0 O1 N M A1 Encoder O2 A2 output input AN-1 OM-1 3.6.1. Maõ hoùa 8 sang 3 (octal sang nhò phaân) Baûng sö thaät vaø maïch logic cho maïch maõ hoùa octal – binary vôùi ngoõ vaøo tích cöïc möùc thaáp A0 A1 A2 A3 A4 A5 A6 A7 Q2 Q1 Q0 x 1 1 1 1 1 1 1 0 0 0 x 0 1 1 1 1 1 1 0 0 1 x 1 0 1 1 1 1 1 0 1 0 x 1 1 0 1 1 1 1 0 1 1 x 1 1 1 0 1 1 1 1 0 0 x 1 1 1 1 0 1 1 1 0 1 x 1 1 1 1 1 0 1 1 1 0 x 1 1 1 1 1 1 0 1 1 1 A0 Q0 LSB A1 A2 8 A3 input Q1 A4 A5 A6 Q2 MSB A7 Löu yù raèng A0 khoâng keát noái trong maïch vì ngoõ ra boä maõ hoùa maëc ñònh baèng 000 khi khoâng coù ngoõ vaøo naøo tích cöùc möùc thaáp Ví dụ Xaùc ñònh caùc ngoõ ra cuûa boä giaûi maõ treân khi A3 =A5 =0 3.6.2. Maõ hoùa öu tieân Töø ví duï treân thaáy raèng khi coù 2 ngoõ vaøo tích cöïc cuøng luùc ñoái vôùi moät boä maõ hoùa ñôn giaûn seõ daãn ñeán keát quaû khoâng mong muoán. Trang 59
  16. Bài Giảng Kỹ Thuật Số Chương 3 Ñeå traùnh tình traïng treân, thöôøng söû duïng boä maõ hoùa öu tieân. Khi coù 2 hay nhieàu ngoõ vaøo cuøng tích cöïc thì ngoõ ra seõ töông öùng vôùi ngoõ vaøo coù ñoä öu tieân cao nhaát. Ví dụ Khi A3 =A5 =0 thì ngoõ ra eõ töông öùng vôùi A5 nghóa laø 101. Xeùt moät heä thoáng vôùi 2n ngoõ vaøo, moãi ngoõ vaøo bieåu thò cho moät yeâu caàu cuûa moät thieát bò nhö sau: REQ 1 REQ 2 Request REQ 3 Request Requestor’s encoder for service number REQ N Ñaây laø moät caáu truùc thöôøngñöôïc söû duïng trong moät heä thoáng con input/output cuûa boä vi xöû lyù, ôû ñaây caùc ngoõ vaøo laø caùc yeâu caàu ngaét. Ñeå giaûi baøi toaùn öu tieân ñoái vôùi caùc ngoõ vaøo nghóa laø khi coù nhieàu yeâu caàu cuøng moät luùc, ta vieát bieåu thöùc logic cho ngoõ ra cuûa boä maõ hoùa öu tieân. Ví dụ Vôùi maïch maõ hoùa öu tieân 8-3 nhö sau I0 I1 I2 I3 I4 I5 I6 I7 A2 A1 A0 1 0 0 0 0 0 0 0 0 0 0 x 1 0 0 0 0 0 0 0 0 1 x x 1 0 0 0 0 0 0 1 0 x x x 1 0 0 0 0 0 1 1 x x x x 1 0 0 0 1 0 0 x x x x x 1 0 0 1 0 1 x x x x x x 1 0 1 1 0 x x x x x x x 1 1 1 1 Ñònh nghóa 8 bieán trung gian H0 ñeán H7 nhö sau: H 7 = I7 H6 = I6I7 H5 = I5I6.I7 … H0 = I0.I1.I2.I3.I4.I5.I6.I7 Töø bieåu thöùc trung gian, vieát laïi bieåu thöùc ngoõ ra nhö sau: A2 = H4 + H5 + H6 + H7 A1 = H2 + H3 + H6 + H7 A0 = H1 + H3 + H5 + H7 Trang 60
  17. Bài Giảng Kỹ Thuật Số Chương 3 74148 laø boä maõ hoùa öu tieân octal to binary IN0 A0 IN1 I7 coù ñoä öu tieân cao nhaát. IN2 A1 IN3 74LS148 coù hai ngoõ ra GS vaø E0. IN4 A2 IN5 IN6 GS Ngoõ GS (Group Select) tích cöïc möùc 0 khi maïch hoaït IN7 EI E0 ñoäng ôû cheá ñoä maõ hoùa vaø coù 1 trong soá caùc ngoõ vaøo ñang tích cöïc. E0 (enable output) tích cöïc möùc 0 khi EI 74LS148 tích cöïc möùc 0 vaø khoâng coù ngoõ vaøo naøo tích cöïc. Ví dụ Söû duïng 74LS148 thieát keá maïch maõ hoùa öu tieân 32 ngoõ vaøo, 5bit ngoõ ra: RA4 ñeán RA0 74147 laø boä maõ hoùa öu tieân 10 ñöôøng sang BCD Khi khoâng coù ngoõ vaøo naøo ñöôïc taùc ñoäng, ngoõ ra seõ laø IN1 A IN2 1111, vì ngoõ ra laø ngoõ ra ñaûo neân ñaûo cuûa 1111 laø 0000, IN3 giaù trò BCD laø 0 neân IC 74LS147 khoâng coù ngoõ vaøo IN0, B IN4 IN5 khi ngoõ vaøo IN9 ôû möùc thaáp, thì ngoõ ra töông öùng laø C IN6 0110, ñaûo laïi 1001 töông öùng vôùi maõ BCD laø 9. IN7 D IN8 Vì caùc ngoõ ra laø ñaûo cho neân ñeå coù ñöôïc maõ BCD ñuùng IN9 ôû ngoõ ra ngöôøi ta theâm caùc coång ñaûo cho moãi ngoõ ra 74LS147 Maõ hoùa baøn phím HI S0 S1 74LS04 S2 S3 IN1 IN2 A S4 IN3 IN4 B BCD IN5 S5 IN6 C IN7 IN8 D S6 IN9 S7 74LS147 S8 S9 Caùc phím coù theå laø baøn phím töø 0 ñeán 9 trong maùy tính. Khi moät phím ñöôïc nhaán, ngoõ ra seõ laø maõ BCD cuûa phím nhaán ñoù. Khi coù 2 phím ñöôïc nhaán cuøng luùc thì phím ngoõ ra seõ laø maõ BCD cuûa phím öu tieân cao nhaát Trang 61
  18. Bài Giảng Kỹ Thuật Số Chương 3 3.7. BOÄ CHOÏN KEÂNH (MULTIPLEXERS) Moät boä choïn keânh töông töông vôùi boä chuyeån maïch soá, noù keát noái data töø n nguoàn khaùc nhau. Ngoõ ra seõ choïn moät trong caùc nguoàn data ngoõ vaøo tuøy thuoäc vaøo caùc ngoõ löïa choïn Multiplexer s Enable EN D0 s Select SEL s D1 s s Data D0 s output s D1 s n data Dn-1 Y sources Data output s Dn-1 Selector Enable Vôùi 2n ngoõ vaøo thì caàn n bit select 3.7.1. Maïch choïn keânh 2 ngoõ vaøo cô baûn I1 Select Output 74LS08 0 Z=I0 Z 1 Z=I1 I0 74LS32 Z=I0 .S+I1.S 1 74LS04 S 3.7.2. Maïch choïn keânh 4 ngoõ vaøo I0 S1 S0 Output 74LS11 0 0 Z=I0 74LS04 I1 0 1 Z=I1 Z 1 0 Z=I2 I2 1 1 Z=I3 1 I3 SELECT S1 S0 GV: Nguyễn Trọng Hải Trang 62
  19. Bài Giảng Kỹ Thuật Số Chương 3 3.7.3. Maïch choïn keânh 8 ngoõ vaøo 74LS151 74LS151 G G C B A Y 1 x x x 0 D0 D1 Y 0 0 0 0 D0 D2 0 0 0 1 D1 D3 D4 0 0 1 0 D2 D5 W 0 0 1 1 D3 D6 0 1 0 0 D4 D7 0 1 0 1 D5 A 0 1 1 0 D6 B C 0 1 1 1 D7 W= Y Ví dụ Duøng 2 IC 74ls151 vaø 1 coång ñaûo, moät coång OR thieát keá maïch choïn keânh 16 ngoõ vaøo, 1 ngoõ ra vaø 4 ngoõ select S0, S1, S2, S3 3.7.4. Maïch choïn keânh 2 nhoùm ngoõ vaøo 74LS157 1A 1Y 74LS157 chöùa 2 nhoùm ngoõ vaøo nhö hình sau 1B 2A 2Y 2B G 1Y 2Y 3Y 4Y A /B 3A 3Y 3B 1 X 0 0 0 0 4A 4Y 4B 0 0 1A 2A 3A 4A A/B 0 1 1B 2B 3B 4B G 74LS157 3.7.5. Caùc öùng duïng cuûa maïch choïn keânh Caùc boä choïn keânh coù nhieàu öùng duïng khaùc nhau trong caùc heä thoáng soá. Caùc öùng duïng bao goàm: choïn data, hoïat ñoäng tuaàn töï, chuyeån ñoåi song song sang noái tieáp, taïo daïng soùng, taïo caùc haøm logic... phaàn naøy seõ ñeà caäp ñeán moät soá öùng duïng, moät soá coøn laïi seõ ñöôïc xeùt ñeán ôû caùc chöông sau Mạch tạo hàm logic Ví dụï. Thöïc hieän baûng söï thaät sau söû duïng multiplexer C B A Z Các biến HI 0 0 0 0 ngõ vào 0 0 1 1 0 1 0 1 0 1 1 0 G C B A D7D6D5D4D3D2D1D0 1 0 0 0 74LS151 1 0 1 0 Y=Z W 1 1 0 0 1 1 1 1 Z=A.B.C+ABC+ABC Z=A.B.C+ABC+ABC GV: Nguyễn Trọng Hải Trang 63
  20. Bài Giảng Kỹ Thuật Số Chương 3 Mux coù theå ñöôïc duøng ñeå thöïc hieän caùc haøm logic tröïc tieáp töø baûng söï thaät maø khoâng caàn ñôn giaûn hoaù haøm logic. Khi söû duïng Mux vaøo muïc ñích naøy, caùc ngoõ select ñöôïc söû duïng nhö caùc bieán logic vaø moãi ngoõ vaøo data ñöôïc noái vôùi möùc 1 hay 0 sao cho thoûa maõn baûng söï thaät. Định hướng data MUX coù theå ñònh höôùng data töø nhieàu nguoàn data thaønh 1 nguoàn ñích. Ví dụ, söû duïng 74LS157 ñeå choïn vaø hieån thò noäi dung cuûa hai boä ñeám BCD. Counter 1 Counter 2 Clock 1 Clock 2 BCD BCD BCD BCD counter counter counter counter select display G A/B 4B 2B 4A 2A 3B 1B 3A 1A G A/B 4B 2B 4A 2A 3B 1B 3A 1A 74LS157 4Y 3Y 2Y 1Y 4Y 3Y 2Y 1Y 74LS157 LT RBI RB0 D C B A LT RBI RB0 D C B A 74LS47 74LS47 EDCBA GF EDCBA GF Moãi boä ñeám bao goàm 2 soá ñeám BCD. Khi select=1, ngoõ ra cuûa boä ñeám 1 seõ hieån thò leân LED 7 ñoaïn, khi select=0, ngoõ ra cuûa boä ñeám 2 seõ hieån thò leân LED 7 ñoaïn. Baèng caùch naøy, noäi dung cuûa caùc boä ñeám seõ ñöôïc hieån thò thoâng qua ngoõ select. Maïch ñònh höôùng naøy thöôøng ñöôïc öùng duïng trong caùc ñoàng hoà ñieän töû soá, ôû ñoù coù caùc boä ñeám vaø caùc thanh ghi nhö giaây, phuùt, giôø, thaùng, vaø baùo thöùc, v.v… Moät maïch MUX nhö treân seõ cho pheùp caùc data khaùc nhau ñöôïc hieån thò leân treân maøn hình coù soá LED hieån thò laø giôùi haïn GV: Nguyễn Trọng Hải Trang 64
nguon tai.lieu . vn